FIFOã«é¢ããå€ãã®èšäºãã€ã³ã¿ãŒãããäžã«ããããã€ãŠç§ã¯éåžžã«è¯ãè³¢æãªèšäºã«åºäŒããŸããã æ®å¿µãªãããç§ã¯ä»åœŒå¥³ãèŠã€ããŠããŸããã 次ã¯ãFIFOã³ã³ããŒãã³ãã®äœæãšäœ¿çšã«é¢ããç§ã®å人çãªçµéšã§ãã å®æããã¢ã€ãã ã¯ã fpga_componentsãããžã§ã¯ãã®Githubã«ãããŸãã ã³ã³ããŒãã³ãã¯ããã€ãã®çç±ã§å¿ èŠã§ããïŒ
- FIFO XIlinxã¯åéä¿¡ã¢ãŒãã§åäœããæ¹æ³ãç¥ããŸãã-ãããäž»ãªçç±ã§ã
- FIFOã¶ã€ãªã³ã¯ã¹ã§ã¯ãæå®ããããã©ã¡ãŒã¿ãŒã䜿çšããŠã³ã³ããŒãã³ããäœæããå¿ èŠããããŸããé¢å©ããã³ã³ããŒãã³ããå€ãããŸãã
- FIFOã¶ã€ãªã³ã¯ã¹ã«ã¯ãšã©ãŒãå«ãŸããŠããŸããããŒã¿æžã蟌ã¿ä¿¡å·ãšåæã«ãªã»ããä¿¡å·ãå°çãããšãFIFOã§1ã¯ãŒããã¹ã¿ãã¯ããŸãã ããã¯ç¢ºãã«åããŸããããããã§ãäžå¿«ã§ãã
FIFOãšã¯äœã§ããã äžè¬ã«ãããã¯ãã¥ã¢ã«ããŒãã¡ã¢ãªã2ã€ã®ã¢ãã¬ã¹ã«ãŠã³ã¿ãŒãããã³ããŒã¿ã®èªã¿åããšæžã蟌ã¿çšã®2ã€ã®ãã·ã³ã§ãã
FIFOã®äž»ãªçšéã®1ã€ã¯ã1ã€ã®ã¯ããã¯åšæ³¢æ°ããå¥ã®ã¯ããã¯åšæ³¢æ°ãžã®ããŒã¿ã®è»¢éã§ãã ããã«ããããã®ãããªã¹ããŒã ã決ãŸããŸãã æžã蟌ã¿ãšèªã¿åãã«åäžã®ã¯ããã¯é床ã䜿çšãããšããã·ã³ãç°¡çŽ åã§ããŸãã
FIFOã³ã³ããŒãã³ãã®å€éšããŒããèŠãŠã¿ãŸãããã
cl_fifo_m12
component cl_fifo_m12 is generic( FIFO_WIDTH : in integer:=64; -- FIFO FIFO_SIZE : in integer:=4096; -- FIFO FIFO_PAF : in integer:=16; -- PAF FIFO_PAE : in integer:=544 -- PAE ); port( -- reset_p : in std_logic; -- 1 - -- clk_wr : in std_logic; -- data_in : in std_logic_vector( FIFO_WIDTH-1 downto 0 ); -- data_en : in std_logic; -- 1 - fifo flag_wr : out bl_fifo_flag; -- fifo, clk_wr cnt_wr : out std_logic_vector( 15 downto 0 ); -- -- clk_rd : in std_logic; -- data_out : out std_logic_vector( FIFO_WIDTH-1 downto 0 ); -- data_rd : in std_logic:='0'; -- 1 - fifo, flag_rd : out bl_fifo_flag; -- fifo, clk_rd cnt_rd : out std_logic_vector( 15 downto 0 ); -- rt : in std_logic:='0'; -- 1 - rt_mode : in std_logic:='0' -- 1 - FIFO ); end component;
ã³ã³ããŒãã³ãã®ã»ããã¢ããïŒ
- FIFO_WIDTH-FIFOã®å¹ ãä»»æã®å¹ ã
- FIFO_SIZE-FIFOã®ã¯ãŒãæ°ãããã¯64ãã65536ãŸã§ã®2ã®ã¹ãä¹ã§ãããã倧ããªãµã€ãºãå¿ èŠãªå Žåã¯ãè€åFIFOãå®è¡ããå¿ èŠããããŸãã
- FIFO_PAF-ã»ãŒæºæ¯ã®FIFOãã©ã°ã®ããªã¬ãŒã¬ãã«ã
- FIFO_PAE-ãã©ã°ã®ããªã¬ãŒã¬ãã«ã¯ã»ãŒç©ºã®FIFOã§ããã©ã°ã¯æ¬¡ã«ãªããŸãã
ããŒãåã¯éåžžã«æçœã§ããã©ã°ã«é¢ããã³ã¡ã³ããããã€ããããŸãã
FIFOãã©ã°ã¯ãbl_fifo_flagåã§æž¡ãããŸãã ã¿ã€ãå®çŸ©ïŒ
type bl_fifo_flag is record ef : std_logic; -- 0 - FIFO pae : std_logic; -- 0 - FIFO hf : std_logic; -- 0 - FIFO paf : std_logic; -- 0 - FIFO ff : std_logic; -- 0 - FIFO ovr : std_logic; -- 1 - FIFO und : std_logic; -- 1 - FIFO end record;
è² è«çã䜿çšãããããšã«æ³šæããŠãã ããã èŠã€ããŸãããïŒ ã¯ããç§ã¯ãŸã 155ã533ã1533ã·ãªãŒãºããã³åã ã®FIFOãããã§TTLã䜿çšããæç«ã®1人ã§ãã ãããã£ãŠããããã®ãã©ã°ã¯ç§ã«ãšã£ãŠéŠŽæã¿ã®ãããã®ã§ãããäœå¹Žãåã«äœãããçŸåšã䜿çšãããŠããŸãã
ãã©ã°ef-FIFOã空ã§ããããšãéç¥ããŸãã ef = 1ã®å ŽåãFIFOãã1ã¯ãŒããèªã¿åãããšãã§ããŸãã
Paeãã©ã°-FIFOãã»ãšãã©ç©ºã§ããããšã瀺ããŸãã FIFO_PAEãã©ã¡ãŒã¿ãŒãã»ãŒæ±ºå®ããçšåºŠã pae = 1ã®å ŽåãFIFOããèªã¿åããã®ã¯FIFO_PAEã¯ãŒã以äžã§ãã
Hfãã©ã°-FIFOãååãã£ã±ãã§ããããšã瀺ããŸãã
Pafãã©ã°-FIFOãã»ãŒå®æããããšã瀺ããŸãã FIFO_PAFãã©ã¡ãŒã¿ãŒãã»ãŒæ±ºå®ããçšåºŠã paf = 1ã®å ŽåãFIFOã«æžã蟌ãããšãã§ããã®ã¯FIFO_PAFã¯ãŒã以äžã§ã
ãã©ã°ff-FIFOãå®äºããŸããã ff = 0ã®å ŽåãFIFOã«æžã蟌ãããšã¯ã§ããŸããã
ovrãã©ã°ããªãŒããŒãããŒããŠããŸãã ovr = 1ã®å Žåãããã¯ãã«FIFOã«ã¬ã³ãŒãããã£ãããšãæå³ããŸã
ãã©ã°ãšã¢ã³ããŒãããŒã und = 1ã®å Žåãããã¯ç©ºã®FIFOããã®èªã¿åããçºçããããšãæå³ããŸãã
FIFOã«æžã蟌ãå Žåããã¥ã¢ã«ããŒãã¡ã¢ãªã«ã¯ãŒããæžã蟌ã¿ãæžã蟌ã¿ã«ãŠã³ã¿ãŒãå¢ããå¿ èŠãããããšã¯æããã§ãã ãŸãã¯ãæåã«å¢ãããŠããçãããŸãã ãŸããèªã¿åãæäœäžã¯ãåºåã§ããŒã¿ãä¿®æ£ããèªã¿åãã«ãŠã³ã¿ãŒãå¢ããå¿ èŠããããŸãã ãã ãã次ã®åé¡ã解決ããå¿ èŠããããŸãã
- FIFOãå®å šãäžå®å šããå€æããæ¹æ³ãããªãã¡ æžããŠãããã§ããïŒ
- FIFOã空ã§ããã空ã§ãªãããå€æããæ¹æ³ã¯ïŒ ã€ãŸã ããããèªãããšã¯å¯èœã§ããïŒ
- PAEãPAFãHFãã©ã°ãæ£ãã圢æããæ¹æ³ã¯ïŒ
- FIFOã®ã¯ãŒãã«ãŠã³ãã¯äœã§ããïŒ
ããããã¹ãŠã®è³ªåã«å¯Ÿããçãããæžã蟌ã¿ããã³èªã¿åãçšã®ã¢ãã¬ã¹ã«ãŠã³ã¿ãŒã®åæã«ããããšã¯æããã§ãã ãã ãããããã®ã«ãŠã³ã¿ãŒã¯ç°ãªãåšæ³¢æ°ã§åäœããŸãã ããããå®è£ ã®éããå§ãŸããŸãã ã«ãŠã³ã¿ãŒå€ãå¥ã®ã¯ããã¯ãã¡ã€ã³ã«è»¢éããããã«å¯Ÿç§°ã¹ããŒã ãé©çšããŸããã ãã®çµæãèªã¿åããã·ã³ãšæžã蟌ã¿ãã·ã³ã®ããããã«ããã®ã«ãŠã³ã¿ãŒã®å€ãšãä»ã®ã«ãŠã³ã¿ãŒã®é 延å€ãããããšãããããŸããã ãããã®å€ããããã·ã³ã¯ãã©ã°ãšFIFOå ã®ã¯ãŒãæ°ã®å€ã圢æããŸãã ããã¯ãæ§é å³ã§è¡šãããšãã§ããŸãã
ãªãã¬ã€ããŒãïŒãããžã§ã¯ãã§ã¯ãããã¯ã³ã³ããŒãã³ãctrl_retack_counter_m12ïŒãæ°ã¯ããã¯ãµã€ã¯ã«ã®é 延ã§ããŒã¿ãéä¿¡ããããšãæ確ã«ç解ããå¿ èŠããããŸãã ãããã£ãŠãFIFOã®ç¶æ ãé ããŠå€åããŸãã ããšãã°ãFIFOã空ã§1ã¯ãŒããæžã蟌ãŸããå Žåããã©ã°ef = 1ãå°ãé ããŠè¡šç€ºãããŸãã FIFOã®ã¯ãŒãã«ãŠã³ãåºåã«ãåãããšãåœãŠã¯ãŸããŸãã ããšãã°ã16åã®ã¯ãŒãã空ã®FIFOã«æžã蟌ãŸããå Žåãæžã蟌ã¿ããã»ã¹äžã«cnt_wrã®åºåã¯å€0ã1ã2ã3ã... 16ãåããŸãïŒFIFOããã®èªã¿åããå®è¡ãããªãå ŽåïŒããcnt_rdã®åºåã¯æ¬¡ã®ãããªå€ãåããŸãïŒ 0ã5ã8ã12ã16ãæ£ç¢ºãªé åºã¯åšæ³¢æ°ã®æ¯çã«äŸåããäºæž¬ããããšã¯ã§ããŸããã ããã¯ãç°ãªãåšæ³¢æ°ã§åäœããåºæ¬çãªFIFOããããã£ã§ãã ãã ããåæã¹ããŒã ã«ãã£ãŠã¯ãããŸããŸãªãã¥ã¢ã³ã¹ãååšããå ŽåããããŸãã
空ããã³å®å šãªFIFOã¯ãã¢ãã¬ã¹ã«ãŠã³ã¿ãŒãåæããããšã«ãã£ãŠæ±ºå®ãããŸãã ãŸããæžã蟌ã¿çšã®2ã€ã®ã¢ãã¬ã¹ïŒçŸåšããã³æ¬¡ïŒãšèªã¿åãçšã®2ã€ã®ã¢ãã¬ã¹ïŒçŸåšããã³æ¬¡ïŒããããŸãã cl_fifo_control_m12ã³ã³ããŒãã³ãã§ã¯ããããã¯ä¿¡å·w_adrãw_next_adrããã³r_adrãr_next_adrã§ãã ããŸããŸãªç¶æ ã®ã¢ãã¬ã¹ã®æ¯çã以äžã®å³ã«ç€ºããŸãã
åæç¶æ ã§ã¯ãw_adr = 0ãr_adr = 0ãw_next_adr = 1ãr_next_adr = 1ã§ãã w_adr = r_adrã®å ŽåãFIFOã¯ç©ºã§ãã
èšé²ãããšããããŒã¿ã¯ãŒãã¯w_adrã«èšé²ãããèšé²ã¢ãã¬ã¹ãã€ã³ã¯ãªã¡ã³ããããŸãã
ãããã®ããã€ãã®åŸãw_adrã®å€ã¯w_adr_to_rdã«è»¢éããïŒclk_rdã®ã¯ããã¯ãã¡ã€ã³ã«ç§»åããŸãïŒãr_adrãšw_adr_to_rdãäžèŽããªãå Žåããã©ã°ef = 1ãèšå®ãããŸãã FIFOããããŒã¿ã¯ãŒããèªã¿åãããšãã§ããŸãã ãã ãã1èªã§ã¯ååã§ã¯ãããŸãããé«ãäŒéé床ãåŸãã«ã¯ãããŒã¿ãããã¯ãæäœããå¿ èŠããããŸãã ãããŠãããã§ã¯PAEãã©ã°ã䜿çšããå¿ èŠããããŸãã FIFO_PAEã¯ãŒããFIFOã«æžã蟌ãŸãããšãpae = 1ãã©ã°ãèšå®ãããããŒã¿ãããã¯ãããã«èªã¿åãããšãã§ããŸãã ããã¯ãDMAãã£ãã«ã§ã®äž»èŠãªåäœã¢ãŒãã§ãã
æžã蟌ã¿é床ãèªã¿åãé床ãããéãå Žåãæžã蟌ã¿ã¢ãã¬ã¹ã¯èªã¿åãã¢ãã¬ã¹ã«è¿œãã€ããŸãã
ãã®å Žåãw_next_adrã¯r_adrããŸãã¯r_adr_to_wrã«çãããªããŸãïŒã¯ããã¯ãã¡ã€ã³ã®å€ã®ã¿ãæ¯èŒã§ããŸãïŒã ããã¯ããã§ã«èšé²ãããããŒã¿ãæãªããªãããã«ãFIFOãå®å šã§ããããã以äžèšé²ã§ããªãããšãæå³ããŸãã ADCã®æ¥ç¶ã¯äžè¬çãªç¶æ³ã§ããããšã«æ³šæããŠãã ããã FIFOãä»ããåäžã³ã¬ã¯ã·ã§ã³ãšåŒã°ãããã®ã¢ãŒãããããŸãã ãã®ã¢ãŒãã§ã¯ãADCã¯ããŒã¿ãé«éã§FIFOã«æžã蟌ã¿ãäœéã®ããã»ããµããã®ããŒã¿ãèªã¿åããŸãã åæã«ãFIFOã®ãµã€ãºã«å¯Ÿå¿ããããŒã¿ãããã¯ã®ã¿ãæå¹ã§ããããšãããããŸãã éåžžãDMAãã£ãã«ã¯ãã®ãµã€ãºçšã«ããã°ã©ã ãããŠããŸãã ããŒã¿ãèªã¿åã£ãåŸãFIFOã¯ãªã»ããããããã¹ãŠãåã³ç¹°ãè¿ãããŸãã ãã ãããã®ã¢ãŒãã§ã¯ãå®å šãªFIFOã®ã¬ã³ãŒãã以åã®ããŒã¿ãæãªããªãããšãåºæ¬çã«éèŠã§ãã
ããŒã¿ããããã¯åäœã§æžã蟌ãå Žåã¯ãPAFãã©ã°ã䜿çšããå¿ èŠããããŸãã paf = 1ã®å ŽåãFIFO_PAFã¯ãŒããFIFOã«æžã蟌ãããšãã§ããŸãã
PAEããã³PAFãã©ã°ã®å€ã¯ãFIFOãæ¥ç¶ãããŠããã³ã³ãããŒã©ãŒã®DMAã®èŠä»¶ããéžæããå¿ èŠããããŸãã ããšãã°ãPCI Expressã®å Žåã4 kBã®ããŒã¿ãããã¯ã䜿çšããŸãã ããã¯ã128ãããã®256ã¯ãŒãã§ãã PAEãã©ã°ã®ãµã€ãºã272ã«èšå®ããŸãã 256ãå°ãè¶ ããŸããFIFOã®ç Žå£ãé²ãããã«ããããæå³çã«è¡ããŸãã ãŸããç§ã¯æã®åœ¢æã¹ããŒã ãä¿¡é ŒããŠããŸããã
ãŸããFIFOã§ã¯ãŒãã«ãŠã³ãã¯ã©ã®ããã«æ±ºå®ãããŸãã ãã¹ãŠãéåžžã«ç°¡åã§ã-æžã蟌ã¿ã¢ãã¬ã¹ããèªã¿åãã¢ãã¬ã¹ãåŒãå¿ èŠããããŸãã ã¢ãã¬ã¹ã¯æ¬¡æ°2ã®åæ°ã§ãããããæžç®ã¯2 ^ Nãæ³ãšããŠè¡ãããŸãã ã¢ãã¬ã¹ã®ãã¢ã2ã€ããããã1ã€ã®FIFOã®ã¯ãŒãæ°ã«2ã€ã®å€ãååŸããŸãïŒããã¯éåååŠã«äœããã®é¢ä¿ãããã®ã§ããããïŒïŒã
PAEããã³HFãã©ã°ïŒèªã¿åãïŒã®å€ã¯ãr_cntããçæãããŸãã PAFããã³HFå€ïŒã¬ã³ãŒãããšïŒã¯w_cntããçæãããŸãã
FIFOã³ã³ããŒãã³ããéçºããªããã°ãªããªãã£ãäž»ãªçç±ã¯ãDACã§äœæ¥ããããã«åšæã¢ãŒããå®è£ ããå¿ èŠãããããã§ãã ãã®ã¢ãŒãã§ã¯ãããŒã¿ãããã¯ãèšé²ãããŸã;ãã¡ãããFIFOãµã€ãºãè¶ ããªãä»»æã®ãµã€ãºã«ããããšãã§ããŸãã ãããŠãèªã¿åããéå§ãããæåŸã«èšé²ãããåèªãçºè¡ããåŸãæåã®åèªãžã®é·ç§»ãããã«çºçããŸãã ããã«ãããäœéããã»ããµãé«éDACã«æ¥ç¶ã§ããŸãã FIFOã³ã³ããŒãã³ãã«ã¯ãå·¡åã¢ãŒãçšã®2ã€ã®å ¥åããããŸãã rt_mode = 1ã¯ãæåŸã«èšé²ãããã¯ãŒããçºè¡ããåŸããŒãã¢ãã¬ã¹ã«ç§»åããããšãæå³ããŸãã
ãã ããå ¥årtã¯ããå°ãå¿ èŠã§ãã rt = 1ãååšãããšãFIFOããã€ã§ããŒãã¢ãã¬ã¹ã«å€æã§ããŸãã æã«ã¯ãããç§ãã¡ãšäžç·ã«äœ¿çšãããŸãã
fpga_componentsãããžã§ã¯ãã«ã¯ 2ã€ã®FIFO ãå«ãŸããŠããŸã ã
- cl_fifo_x64_v7
- cl_fifo_m12
cl_fifo_x64_v7ã¯é·ãééçºããã³å ¬éãããŸããã ãŸããé·ãé䜿çšãããŠããããã®æ§èœãå®èšŒãããŠããŸãã Core Generatorã«ãã£ãŠçæãããã³ã³ããŒãã³ãããã¥ã¢ã«ããŒãã¡ã¢ãªãšããŠäœ¿çšããŸãã ç°ãªãFIFOãµã€ãºã«ã¯ç¬èªã®ã³ã³ããŒãã³ããå¿ èŠã§ããããšãã°ãfpga_components \ src \ fifo \ fifo_v7 \ coregenãã£ã¬ã¯ããªã«ã¯4ã€ã®ã³ã³ããŒãã³ãããããŸã
- ctrl_dpram512x64_v7
- ctrl_dpram1024x64_v7
- ctrl_dpram8192x64_v7
- ctrl_dpram32768x64_v7
ããã¯ãã¹ãŠã64ãããå¹ ã®ãã¹å°çšã§ãã ä»ã®ã¿ã€ã€ããã³ä»ã®ãµã€ãºã«ã¯ãç¬èªã®ã³ã³ããŒãã³ããå¿ èŠã§ãã ç§ãã¡ã¯ãããã軜çã«è¡ããŸããããä»ã§ã¯å€§ããªå±±ããããŸãããããã¯ãã§ã«äœæ¥ããã®ã«äžäŸ¿ã§ãã Alexander CapitanovïŒ capitanov ïŒã¯ããã«æ³šç®ããå®å šã«åæãããFIFOãäœæãããšã¬ã¬ã³ããªãœãªã¥ãŒã·ã§ã³ãææ¡ããŸããã 圌ã¯ãããèªåã®ãããžã§ã¯ãã«å®è£ ããŸããïŒ github.com/capitanov/adc_configuratorã³ã³ããŒãã³ãïŒ ctrl_fifo_config äž»ãªã¢ã€ãã¢ã¯ããã®VHDLã³ã³ã¹ãã©ã¯ãã䜿çšããããšã§ãã
type RAM is array (integer range <>) of std_logic_vector(DATA_WIDTH-1 downto 0); signal Mem : RAM (0 to DATA_DEPTH-1);
ãã®ãã¶ã€ã³ã¯ããã¥ã¢ã«ããŒãã¡ã¢ãªã«åæãããŸãã ãã®ã¢ã€ãã¢ã¯çŸãããcl_fifo_x64_v7ã®æ¹è¯ã®çµæãFIFO cl_fifo_m12ã«ãªããŸãã ã
FIFOãæžã蟌ãã ãã§ã¯ååã§ã¯ãããŸããããã®äœæ¥ã確èªããå¿ èŠããããŸãã æ€èšŒã®ããã«ãPROTEQã®éçºäžã«æ¡çšãããã¢ãããŒãã䜿çšããŸã ãããã«ã€ããŠã¯ã以åã®èšäºã§èªãããšãã§ããŸãã
æ§æå¯èœãªãã©ã¡ãŒã¿ãŒãæã€ã³ã³ããŒãã³ãtb_00ããããŸãã
tb_00
component tb_00 is generic( max_time : in time:=100 us; -- period_wr : in time; -- period_rd : in time; -- fifo_size : in integer; -- FIFO FIFO_PAF : in integer; -- PAF FIFO_PAE : in integer; -- PAE max_fifo0_pkg : in integer -- ); end component;
ããã«ãããããŸããŸãªã¯ããã¯æ¯ããã³PAEããã³PAFãã©ã°ã§FIFOãééããããŒã¿ã®ãããŒã確èªã§ããŸãã ãã¹ãã±ãŒã¹ã®ã³ã³ããŒãã³ãããããŸãã
- tc_00_01-æžã蟌ã¿é床ãèªã¿åãé床ãã倧ããå Žåã確èªããŸãã
- tc_00_02-ããã¯ãèªã¿åãé床ãæžã蟌ã¿é床ããã倧ããå Žåã§ãã
ãã®çµæããã®ãããªã¬ããŒãã¯ãã¹ãã®éå§æã«åœ¢æãããŸãã
Global fifo_12 TC log: tc_00_01 PASSED tc_00_02 PASSED
ãã¡ããããã¹ãããšã«ã¬ããŒããä¿åãããŸãã
ããšãã°ãããïŒ
tc_00_01.log
# KERNEL: FIFO 0 - PKG= 1 6310 ns 0 ns ERROR: 0 SPEED: 0 # KERNEL: FIFO 0 - PKG= 2 12022 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 3 17734 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 4 23446 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 5 29158 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 6 34870 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 7 40582 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 8 46294 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 9 52006 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 10 57718 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 11 63430 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 12 69142 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 13 74854 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 14 80566 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 15 86278 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 16 91990 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 17 97702 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 18 103414 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 19 109126 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 20 114838 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 21 120550 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 22 126262 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 23 131974 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 24 137686 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 25 143398 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 26 149110 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 27 154822 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 28 160534 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 29 166246 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 30 171958 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 31 177670 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 32 183382 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 33 189094 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 34 194806 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 35 200518 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 36 206230 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 37 211942 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 38 217654 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 39 223366 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 40 229078 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 41 234790 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 42 240502 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 43 246214 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 44 251926 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 45 257638 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 46 263350 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 47 269062 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 48 274774 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 49 280486 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 50 286198 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 51 291910 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 52 297622 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 53 303334 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 54 309046 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 55 314758 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 56 320470 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 57 326182 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 58 331894 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 59 337606 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 60 343318 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 61 349030 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 62 354742 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 63 360454 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 64 366166 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 65 371878 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 66 377590 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 67 383302 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 68 389014 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 69 394726 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 70 400438 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 71 406150 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 72 411862 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 73 417574 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 74 423286 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 75 428998 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 76 434710 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 77 440422 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 78 446134 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 79 451846 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 80 457558 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 81 463270 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 82 468982 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 83 474694 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 84 480406 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 85 486118 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 86 491830 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 87 497542 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 88 503254 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 89 508966 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 90 514678 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 91 520390 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 92 526102 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 93 531814 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 94 537526 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 95 543238 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 96 548950 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 97 554662 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 98 560374 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG= 99 566086 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=100 571798 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=101 577510 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=102 583222 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=103 588934 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=104 594646 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=105 600358 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=106 606070 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=107 611782 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=108 617494 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=109 623206 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=110 628918 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=111 634630 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=112 640342 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=113 646054 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=114 651766 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=115 657478 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=116 663190 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=117 668902 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=118 674614 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=119 680326 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=120 686038 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=121 691750 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=122 697462 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=123 703174 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=124 708886 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=125 714598 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=126 720310 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=127 726022 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=128 731734 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=129 737446 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=130 743158 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=131 748870 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=132 754582 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=133 760294 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=134 766006 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=135 771718 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=136 777430 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=137 783142 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=138 788854 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=139 794566 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=140 800278 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=141 805990 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=142 811702 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=143 817414 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=144 823126 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=145 828838 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=146 834550 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=147 840262 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=148 845974 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=149 851686 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=150 857398 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=151 863110 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=152 868822 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=153 874534 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=154 880246 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=155 885958 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=156 891670 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=157 897382 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=158 903094 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=159 908806 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=160 914518 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=161 920230 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=162 925942 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=163 931654 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=164 937366 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=165 943078 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=166 948790 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=167 954502 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=168 960214 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=169 965926 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=170 971638 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=171 977350 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=172 983062 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=173 988774 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=174 994486 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=175 1000198 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=176 1005910 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=177 1011622 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=178 1017334 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=179 1023046 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=180 1028758 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=181 1034470 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=182 1040182 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=183 1045894 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=184 1051606 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=185 1057318 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=186 1063030 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=187 1068742 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=188 1074454 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=189 1080166 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=190 1085878 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=191 1091590 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=192 1097302 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=193 1103014 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=194 1108726 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=195 1114438 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=196 1120150 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=197 1125862 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=198 1131574 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=199 1137286 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=200 1142998 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=201 1148710 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=202 1154422 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=203 1160134 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=204 1165846 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=205 1171558 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=206 1177270 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=207 1182982 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=208 1188694 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=209 1194406 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=210 1200118 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=211 1205830 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=212 1211542 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=213 1217254 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=214 1222966 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=215 1228678 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=216 1234390 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=217 1240102 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=218 1245814 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=219 1251526 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=220 1257238 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=221 1262950 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=222 1268662 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=223 1274374 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=224 1280086 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=225 1285798 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=226 1291510 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=227 1297222 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=228 1302934 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=229 1308646 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=230 1314358 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=231 1320070 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=232 1325782 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=233 1331494 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=234 1337206 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=235 1342918 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=236 1348630 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=237 1354342 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=238 1360054 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=239 1365766 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=240 1371478 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=241 1377190 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=242 1382902 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=243 1388614 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=244 1394326 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=245 1400038 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=246 1405750 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=247 1411462 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=248 1417174 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=249 1422886 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=250 1428598 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=251 1434310 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=252 1440022 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=253 1445734 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=254 1451446 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=255 1457158 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: FIFO 0 - PKG=256 1462870 ns 5712 ns ERROR: 0 SPEED: 1368 # KERNEL: : 1463200 ns # KERNEL: FIFO 0 # KERNEL: : 256 # KERNEL: : 256 # KERNEL: : 0 # KERNEL: : 0 # KERNEL: : 1368 / # KERNEL: # KERNEL: # KERNEL: # KERNEL: TEST finished successfully # KERNEL:
å¿ èŠã«å¿ããŠããã¹ããè£è¶³ãããŸããPCK_FIOããã±ãŒãžã䜿çšããŠããã¹ããã³ã³ãœãŒã«ã«åºåããããšã«æ³šæããŠãã ãããããã¹ãåºåãåçã«ç°¡çŽ åããŸãã
ããšãã°ãçµæã®åºåã¯æ¬¡ã®ããã«ãªããŸãã
fprint( output, L, " : %r ns\n", fo(now) ); fprint( output, L, "FIFO 0 \n" ); fprint( output, L, " : %d\n", fo( rx0_result.pkg_rd ) ); fprint( output, L, " : %d\n", fo( rx0_result.pkg_ok ) ); fprint( output, L, " : %d\n", fo( rx0_result.pkg_error ) ); fprint( output, L, " : %d\n", fo( rx0_result.total_error ) ); fprint( output, L, " : %r /\n\n", fo( integer(rx0_result.velocity) ) );
ããã¯Cã®ãããªãã®ã§ãã
æçµçã«ãç§ã¯çµæãå®çšçãªäœæ¥ã«åå䟿å©ãªãšã¬ã¬ã³ããªã³ã³ããŒãã³ãã§ãããšä¿¡ããŠããŸãã