15分で玔粋な正匊波を持぀むンバヌタヌたたは「パワヌ゚レクトロニクス-すべおの人に」

パワヌ゚レクトロニクスずは 間違いなく、これが党䞖界です モダンで快適。 倚くの人はパワヌ゚レクトロニクスを「魔法のような」遠いものず考えおいたすが、呚囲を芋るず、ラップトップの電源、LEDランプ、UPS、さたざたなレギュレヌタヌ、電圧レギュレヌタヌ、呚波数メヌタヌIFが含たれおいたす。 換気たたぱレベヌタヌ内など。 この機噚のほずんどは、私たちの生掻を快適で安党なものにしたす。



いく぀かの理由により、パワヌ゚レクトロニクスの開発ぱレクトロニクスの最も難しい分野の1぀です。゚ラヌ䟡栌は非垞に高く、パワヌコンバヌタヌの開発は垞に恋人やDIYワヌカヌなどを惹き぀けおいたす。 確かにあなたはあなたのプロゞェクトのために匷力な電源を䜜りたいず思いたしたか たたは、数kWのオンラむンUPSが壊れおいたせんか それずも、ワヌクショップでのchastotnik



今日は、自分の小さなオヌプンプロゞェクトに぀いお、たたはパワヌ゚レクトロニクス開発の䞖界に足を螏み入れ、同時に生き続けるこずを望んでいる人たちを察象にしたプロゞェクトに぀いお説明したす。 可胜性のデモンストレヌションずしお、12V DCから230V ACたでの電圧むンバヌタヌをサむン出力で15分で組み立おる方法を瀺したす。 興味がありたすか 行こう









プロゞェクトの理由



過去数幎間で、電力倉換噚の開発は私の泚文の玄90を占めたした。䞻な人件費は䞻に゜フトりェア開発ずプロトタむピングに費やされ、回路蚭蚈+総コストの最終的なボヌドトレヌスは通垞10-15以䞋です。 ここで、゜フトりェア開発を含むプロトタむピングプロセスを䜕らかの圢で削枛および最適化する必芁があるずいう理解が埗られたす。



い぀ものように少なくずも2぀のオプションがありたすテキサスむンストルメッツたたはむンフィニオンから既補のデバッグを賌入したすが、通垞は特定のタスクに合わせお調敎され、500ドルから5,000ドルの費甚がかかりたす。報われたせん。

2番目のオプションは自分で行うこずですが、培底的に行うこずは「鉄の+1リビゞョン」を開始するこずずほが同じであり、顧客に远加費甚が発生したす。 培底的に行わないず、い぀ものようにすべおが錻氎になり、どこかで、レむアりト、コンポヌネント、およびタむミングたで䜕かが萜ちたす。

しばらくしお、私は最も明癜な解決策に泚目を集めたした。 ずおも単玔で明癜なので、長い間、なぜ同じTIやむンフィニオンがただこれをやらなかったのかず思っおいたした。 今、私は私の「啓発」に぀いおお話したす。



最も人気のある電力コンバヌタトポロゞのいく぀かを芋おみたしょう。















もう䞀床泚意深く芋おください。 ストラップを䜿わずに、明確にするために重芁なコンポヌネントのみをペむントしたした。 これらのトポロゞには共通点がありたすか 最初に目を匕くのは、䞀連の共通点です。





このこずから、ハヌフブリッゞ+コンデンサバンドルの圢匏の特定の暙準モゞュヌルを䜿甚するず、必芁なむンダクタたたはトランスのみを远加するこずで、任意のコンバヌタを構築できるず結論付けるこずができたす。 したがっお、プロトタむピングを簡玠化するための明らかな解決策は、そのようなモゞュヌルの䜜成でした。





善ず悪の戊い



残念ながら、1日あたりの限られた時間ず平凡な怠inessが圌らの状態を決定したす。 私は1幎前にこのモゞュヌルを䜜成する必芁がありたしたが、実装は次のスロヌガンの䞋で絶えず移されたした- 「私は間違いなく来週末にやる」



おそらく、2぀のむベントがなければ、アむデアは棚に残っおいたでしょう。 最初に、1か月で2人の顧客が私のずころに来お、誰もが耇雑で実装が面癜かったコンバヌタヌを望んでいたした。 圌はペヌロッパ出身であるず考えおいたすが、圌らにずっおは安䞊がりだったかもしれたせん䞡方のプロゞェクトは私にずっお興味深いものでした。 PFC + 3ブリッゞコンバヌタヌ䜍盞シフト+同期敎流噚+ 3盞むンバヌタヌ。 これらはすべおSiC䞊で非垞にコンパクトです。 䞀般的に、私は2぀の倧きな泚文を取りたした。それぞれが玄800人時ず6ヶ月の期間です。 その結果、最適化の方法を探すように「匷制」されたした。



第二に、 PCBwayの䌚瀟の人たちが思いがけず私に手玙を曞いおくれ 、倚くの人がおそらく圌らにボヌドを泚文し、協力を申し出た。 圌らは、オヌプンハヌドりェアプロゞェクト、぀たりCERN-Open Source Hardwareのむニシアチブを非垞に積極的にサポヌトしおいたす。 協力は簡単で、䞡圓事者にずっお理解しやすいものです。圌らは私のプロゞェクトのために無料の掲瀺板を提䟛しおくれ、私はそれらを開いお、あなたが望むなら他の堎所のりェブサむトに茉せたす。 私にずっおそれは远加の動機になりたした。そしお最も重芁なこずには、私の良心は明確です。 ここ数幎、私はそれらからプロトタむプのボヌドを泚文しおおり、倧量生産のために、知り合いやパヌトナヌにそれらに぀いお䌝えおいたす。 さお、これのために、私は小さなプロゞェクト甚の無料のボヌドの圢のパンも持っおいたす、あなたはしばしばハブに曞くこずができたす



そしお、氷が壊れたため、前述のモゞュヌルだけでなく、パワヌ゚レクトロニクス開発者の党䜓のセットを䜜成し、それをすべおの人が開けおアクセスできるようにするこずが決定されたした。



プロゞェクト構造



蚘事の冒頭で、今日は1぀の郚分に぀いおのみお話しするこずをお䌝えしたした。これはハヌフブリッゞの電源モゞュヌルです 。 それだけで、STM32-Discovery、Arduino、TMS320、TL494、たたはそこにあるものをデバッグするなど、制埡回路をねじ蟌むだけでコンバヌタヌを䜜成できたす。 プラットフォヌムやMKぞのバむンドは䞀切ありたせん。



これだけがプロゞェクト党䜓ではなく、䞀郚完成した電力倉換噚は䜕で構成されおいたすか たず第䞀に、パワヌナニットは動䜜するために特定の制埡モゞュヌルを必芁ずしたす。䜕が起こっおいるのかを知るには衚瀺が必芁であり、安党な距離から䜕が起こっおいるのかを知るには、Modbus RTUやCANなどのむンタヌフェヌスも必芁です。



その結果、プロゞェクトの䞀般的な構造は次のようになりたす。







おそらく将来的には、埓来型ず平面型の䞡方のトランスずチョヌクを蚈算するためのプログラムも䜜成したす。 これたでのずころ。 ドラフト圢匏の図のさたざたな郚分は、2぀のプロゞェクトで既に実装および実行されおいたす。わずかな修正を加えた埌、それらに぀いおの蚘事も䜜成され、゜ヌスコヌドが利甚可胜になりたす。



ハヌフブリッゞ電源モゞュヌル



さあ、今日のヒヌロヌを詳しく芋おみたしょう。 このモゞュヌルは汎甚的で、最倧1200Vの䜎電圧および高電圧の䞡方のスむッチであるMosfetおよびIGBTトランゞスタを䜿甚できたす。



モゞュヌルの特城





モゞュヌルの最初の改蚂版は蚘事に蚘茉されおおり、完党に機胜したすが、2番目の改蚂版では、玔粋に蚭蚈䞊の欠陥がなくなり、コネクタがより䟿利なものに眮き換えられたす。 ドキュメントを完成させた埌、PCBwayにガヌバヌを投げるず、6日埌に宅配䟿業者が私のドアをノックしお、私にそのような魅力を枡したした。







1週間埌、 犬はようやく1぀の矎しい囜内店から郚品を持ち蟌みたした。 その結果、すべおがマりントされたした。











次に進む前に、モゞュヌルの回路図を芋おみたしょう。 こちらからダりンロヌドできたす-PDF



耇雑でも魔法でもありたせん。 通垞のハヌフブリッゞ䞋郚に2぀のキヌ、䞊郚に2぀のキヌ、1぀ず぀はんだ付けできたす。 䞊蚘の1EDファミリヌのドラむバヌは、非垞に邪悪で䞍滅です。 電源のどこでも、DC / DC出力での+ 12Vを含む衚瀺がありたす。 ANDロゞック゚レメントには保護が実装されおおり、電流を超えるず、コンパレヌタヌは+ 3.3Vを出力し、フォトカプラヌを点灯させ、AND入力の1぀をグランドに匕き蟌みたす。぀たり、log.0が確立され、ドラむバヌからのPWM信号が消えたす。 次の改蚂では、3぀の入力を持぀ANDが特に䜿甚されたした。ラゞ゚ヌタヌによる過熱に察する保護も行い、゚ラヌ信号も取埗する予定です。 すべおの゜ヌスは蚘事の最埌にありたす。



むンバヌタのレむアりトを組み立おたす



モゞュヌルの動䜜をデモンストレヌションする方法に぀いお長い間考えおいたので、誰にずっおも退屈で、有甚であり、繰り返すこずはそれほど難しくありたせん。 したがっお、私は電圧むンバヌタヌで停止したした。それらは゜ヌラヌパネルで動䜜するために䜿甚されたす。䜎電圧偎で䜕か臭いがしたら怖くないですが、高電圧偎では、電源を入れたずきに手を入れないでください



むンバヌタヌ自䜓は䞍名誉なほど単玔です。ずころで、MAP Energyはそのようにリベットで留められおいたす。ここに、このアむデアの商甚実装の䟋がありたす。 むンバヌタヌの仕事は、12 V DC電圧から50 Hzの呚波数の正匊波倉数を圢成するこずです。これにより、50 Hzの埓来の倉圧噚が動䜜に䜿甚されるためです。 OSMのような䜕らかの゜ビ゚トを䜿甚し、220Vの工堎巻線ず2次巻線ずしお䜿甚され、1次〜8Vは銅バスで巻線されたす。 次のようになりたす。







そしお、このモンスタヌはたった400ワットです 感芚によるず、倉圧噚の重量は玄5〜7 kgで、脚に萜ずすず、軍隊に持ち蟌たれるこずはありたせん。 実際、これは「鉄」倉圧噚を備えたむンバヌタのマむナスであり、それらは巚倧で重いです。 それらのプラスは、これらのむンバヌタヌがすっごくシンプルで、䜜成するのに経隓を必芁ずせず、もちろん安いこずです。



次に、モゞュヌルずトランスフォヌマヌを接続したしょう。 実際、開発者向けのモゞュヌルは、2぀のPWMの入力ず3぀の電源出力VCC、GND、ハヌフブリッゞ出力自䜓を備えた「ブラックボックス」ずしお提瀺する必芁がありたす。







次に、これらの「ブラックボックス」から、むンバヌタヌを描画したしょう。







ええ、それはたった3぀の倖郚芁玠を取りたしたトランス LCフィルタヌ。 埌者に぀いおは、モゞュヌルからトランスぞのワむダを、Kool Mu材料、透磁率60のR32サむズ、玄10ÎŒHのむンダクタンスのリングでワむダを巻くこずにより、チョヌクを䜜成したした。 もちろん、スロットルを蚈算する必芁がありたすが、15分必芁です䞀般に、400 Wでそのようなものを運転する堎合、サむズR46これが倖埄ですのリングが必芁です。 静電容量-1-10 uFフィルム、これで十分です。 実際、トランスの巻線の容量が健党であるため、コンデンサを経枈ずしお蚭定するこずはできたせん...䞀般的に、䞭囜ずMAPはそれを行いたしたむンダクタは次のようになりたす







出力にテスト負荷をかけるこずは残っおいたす。20WのLED電球が2぀あり他に手元にないものがありたす、それら自䜓が24 Wを消費したすが、効率は倉わりたせん。 たた、トランスの開回路電流は玄1Aです。 バッテリヌでは玄5Aを消費したす。 その結果、次のような立堎になりたす。







たた、レむアりトでは、バッテリヌデルタHR12-17をそれぞれ12Vおよび17 A * hの容量で䜿甚したした。 STM32F469-Discoveryデバッグボヌドからコンバヌタヌを制埡したす。



コヌド



圓初は、2010幎に展瀺䌚で受け取ったSTM32VL-Discoを管理に䜿甚する予定でしたが、たたたたこのレむアりト䞊にあったため、すべおのコヌドが蚘述されおレむアりトが起動されたずきに死ぬ運呜にありたした。 オシロスコヌプのプロヌブを忘れお、2぀の地球を組み合わせたアヌメン。 その結果、すべおがSTM32F469NIH6で曞き盎されたした。手元にあったのはこのデバッグでした。したがっお、2぀のプロゞェクトがありたす。F100ずF469の䞡方がチェックされたす。 このプロゞェクトは、STのEclipseバヌゞョンであるTrueSTUDIO甚に構築されおいたす。



フットコヌド
#include "main.h" /********************************************* Sinus table **********************************************************/ uint16_t sinData[240] = {0,13,26,39,52,65,78,91,104,117,130,143,156,169,182,195,207,220,233,246,258,271,284,296,309,321,333,346,358,370, 382,394,406,418,430,442,453,465,477,488,500,511,522,533,544,555,566,577,587,598,608,619,629,639,649,659,669,678,688,697, 707,716,725,734,743,751,760,768,777,785,793,801,809,816,824,831,838,845,852,859,866,872,878,884,891,896,902,908,913,918, 923,928,933,938,942,946,951,955,958,962,965,969,972,975,978,980,983,985,987,989,991,993,994,995,996,997,998,999,999,999, 999,999,999,998,997,996,995,994,993,991,989,987,985,983,980,978,975,972,969,965,962,958,955,951,946,942,938,933,928,923, 918,913,908,902,896,891,884,878,872,866,859,852,845,838,831,824,816,809,801,793,785,777,768,760,751,743,734,725,716,707, 697,688,678,669,659,649,639,629,619,608,598,587,577,566,555,544,533,522,511,500,488,477,465,453,442,430,418,406,394,382, 370,358,346,333,321,309,296,284,271,258,246,233,220,207,195,182,169,156,143,130,117,104,91,78,65,52,39,26,13,0}; uint16_t sinStep; uint8_t sinStatus; /******************************************** Used functions ********************************************************/ void StartInitClock (void) { RCC->CR |= RCC_CR_HSEON; // Enable HSE while (!(RCC->CR & RCC_CR_HSERDY)); FLASH->ACR |= FLASH_ACR_LATENCY_5WS; RCC->PLLCFGR = 0x00; RCC->PLLCFGR |= RCC_PLLCFGR_PLLM_3; // Div for HSE = 8 RCC->PLLCFGR |= RCC_PLLCFGR_PLLN_4 | RCC_PLLCFGR_PLLN_5 | RCC_PLLCFGR_PLLN_6 | RCC_PLLCFGR_PLLN_7; // PLL mult x240 RCC->PLLCFGR |= RCC_PLLCFGR_PLLSRC; // Source HSE RCC->CR |= RCC_CR_PLLON; while((RCC->CR & RCC_CR_PLLRDY) == 0){} RCC->CFGR &= ~RCC_CFGR_SW; RCC->CFGR |= RCC_CFGR_SW_PLL; // Select source SYSCLK = PLL while((RCC->CFGR & RCC_CFGR_SWS) != RCC_CFGR_SWS_1) {} // Wait till PLL is used RCC->CR |= RCC_CR_PLLSAION; while ((RCC->CR & RCC_CR_PLLSAIRDY) == 0) {} } void EnableOutputMCO (void) { RCC->AHB1ENR |= RCC_AHB1ENR_GPIOAEN; // Enable clock port A GPIOA->MODER &= ~GPIO_MODER_MODER8; GPIOA->MODER |= GPIO_MODER_MODER8_1; // Alternative PP GPIOA->OSPEEDR |= GPIO_OSPEEDER_OSPEEDR8; // Very high speed RCC->CFGR |= RCC_CFGR_MCO1; // Source PLL RCC->CFGR &= ~RCC_CFGR_MCO1PRE; // Div = 1 } void InitIndicatorLED (void) { /* * LED1 - PG6 * LED2 - PD4 * LED3 - PD5 * LED4 - PK3 */ RCC->AHB1ENR |= RCC_AHB1ENR_GPIOGEN; RCC->AHB1ENR |= RCC_AHB1ENR_GPIODEN; RCC->AHB1ENR |= RCC_AHB1ENR_GPIOKEN; GPIOG->MODER &= ~GPIO_MODER_MODER6; GPIOG->MODER |= GPIO_MODER_MODER6_0; // Output PP GPIOD->MODER &= ~GPIO_MODER_MODER4; GPIOD->MODER |= GPIO_MODER_MODER4_0; // Output PP GPIOD->MODER &= ~GPIO_MODER_MODER5; GPIOD->MODER |= GPIO_MODER_MODER5_0; // Output PP GPIOK->MODER &= ~GPIO_MODER_MODER3; GPIOK->MODER |= GPIO_MODER_MODER3_0; // Output PP } void EnableIndicatorLED (void) { GPIOG->BSRR |= GPIO_BSRR_BR_6; GPIOD->BSRR |= GPIO_BSRR_BR_4; GPIOD->BSRR |= GPIO_BSRR_BR_5; GPIOK->BSRR |= GPIO_BSRR_BR_3; } void InitLowPWM (void) { /* * TIM1-CH1 - PA8 * TIM1-CH1N - PB13 */ RCC->APB2ENR |= RCC_APB2ENR_TIM1EN; RCC->AHB1ENR |= RCC_AHB1ENR_GPIOAEN; RCC->AHB1ENR |= RCC_AHB1ENR_GPIOBEN; /*********** GPIO **********/ GPIOA->MODER &= ~GPIO_MODER_MODER8; GPIOA->MODER |= GPIO_MODER_MODER8_1; // Alternative output PP GPIOA->AFR[1] |= GPIO_AFRH_AFRH0_0; // Select TIM1-CH1 GPIOB->MODER &= ~GPIO_MODER_MODER13; GPIOB->MODER |= GPIO_MODER_MODER13_1; // Alternative output PP GPIOB->AFR[1] |= GPIO_AFRH_AFRH5_0; // Select TIM1-CH1N /*********** Timer *********/ TIM1->PSC = 2400-1; // div for clock: F = SYSCLK / [PSC + 1] TIM1->ARR = 1000; // count to 1000 TIM1->CR1 &= ~TIM_CR1_CKD; // div for dead-time: Tdts = 1/Fosc = 41.6 ns TIM1->CCR1 = 500; // duty cycle 50% TIM1->CCER |= TIM_CCER_CC1E | TIM_CCER_CC1NE; // enable PWM complementary out to PB15 and to PA10 TIM1->CCER &= ~TIM_CCER_CC1NP; // active high level: 0 - high, 1 - low TIM1->CCMR1 &= ~TIM_CCMR1_OC1M; TIM1->CCMR1 |= TIM_CCMR1_OC1M_2 | TIM_CCMR1_OC1M_1; // positiv PWM1_CH3 and PWM1_CH3N TIM1->BDTR &= ~TIM_BDTR_DTG; // clear register TIM1->BDTR |= TIM_BDTR_DTG_2 | TIM_BDTR_DTG_1 | TIM_BDTR_DTG_0; // value dead-time: = 31*Tdts = 32*41,6ns = 1.29us TIM1->BDTR |= TIM_BDTR_MOE | TIM_BDTR_AOE; // enable generation output and dead-time TIM1->CR1 &= ~TIM_CR1_DIR; // count up: 0 - up, 1 - down TIM1->CR1 &= ~TIM_CR1_CMS; // aligned on the front signal: 00 - front; 01, 10, 11 - center TIM1->CR1 |= TIM_CR1_CEN; // start count } void InitSinusPWM (void) { /* * TIM3-CH1 - PB4 * TIM3-CH2 - PC7 */ RCC->APB1ENR |= RCC_APB1ENR_TIM3EN; RCC->AHB1ENR |= RCC_AHB1ENR_GPIOBEN; RCC->AHB1ENR |= RCC_AHB1ENR_GPIOCEN; /*********** GPIO **********/ GPIOB->MODER &= ~GPIO_MODER_MODER4; GPIOB->MODER |= GPIO_MODER_MODER4_1; // Alternative output PP GPIOB->AFR[0] |= GPIO_AFRL_AFRL4_1; // Select TIM3-CH1 GPIOC->MODER &= ~GPIO_MODER_MODER7; GPIOC->MODER |= GPIO_MODER_MODER7_1; // Alternative output PP GPIOC->AFR[0] |= GPIO_AFRL_AFRL7_1; // Select TIM3-CH2 /*********** Timer *********/ TIM3->PSC = 5-1; // div for clock: F = SYSCLK / [PSC + 1] TIM3->ARR = 1000; // count to 1000 TIM3->CCR1 = 0; // duty cycle 0% TIM3->CCR2 = 0; // duty cycle 0% TIM3->CCER |= TIM_CCER_CC1E; // enable PWM out to PA8 TIM3->CCER &= ~TIM_CCER_CC1P; // active high level: 0 - high, 1 - low TIM3->CCER |= TIM_CCER_CC2E; // enable PWM complementary out to PA9 TIM3->CCER &= ~TIM_CCER_CC1P; // active high level: 0 - high, 1 - low TIM3->CCMR1 &= ~(TIM_CCMR1_OC1M | TIM_CCMR1_OC2M); TIM3->CCMR1 |= TIM_CCMR1_OC1M_2 | TIM_CCMR1_OC1M_1 | TIM_CCMR1_OC2M_2 | TIM_CCMR1_OC2M_1; // positiv PWM1_CH1 and PWM1_CH2 TIM3->CR1 &= ~TIM_CR1_DIR; // count up: 0 - up, 1 - down TIM3->CR1 &= ~TIM_CR1_CMS; // aligned on the front signal: 00 - front; 01, 10, 11 - center TIM3->CR1 |= TIM_CR1_CEN; // start count } void InitStepSinus (void) { RCC->APB1ENR |= RCC_APB1ENR_TIM6EN; // enable clock for basic TIM6 TIM6->PSC = 5-1; // div, frequency 24 kHz TIM6->ARR = 1000; // count to 1000 TIM6->DIER |= TIM_DIER_UIE; // enable interrupt for timer TIM6->CR1 |= TIM_CR1_CEN; // start count NVIC_EnableIRQ(TIM6_DAC_IRQn); // enable interrupt TIM6_DAC_IRQn } /************************************* Main code *********************************************/ int main (void) { StartInitClock(); // EnableOutputMCO(); InitIndicatorLED(); InitLowPWM(); InitSinusPWM(); InitStepSinus(); EnableIndicatorLED(); while(1) { } } /****************************** Interrupts ******************************************************/ void TIM6_DAC_IRQHandler (void) { TIM6->SR &= ~TIM_SR_UIF; if (sinStatus == 0) {TIM3->CCR1 = sinData[sinStep];} if (sinStatus == 1) {TIM3->CCR2 = sinData[sinStep];} sinStep++; if (sinStep >= 240) { sinStep = 0; sinStatus = sinStatus ? 0 : 1; } }
      
      







䞀般に、別の蚘事で、圌は正匊波信号の生成方法、コヌドの蚘述方法などを詳现か぀明確に説明したした。 ここで読むこずができたす 。



読みたしたか 収集したいですか プロゞェクトを維持したす。





コヌドを実行し、オシロスコヌプで準備を進めたす。 たず、ドラむバヌの入力で信号の存圚を確認したす。次のようになりたす。







1぀のハヌフブリッゞモゞュヌルに正匊波を描く2぀の信号ず、2぀の信号に50 Hzを䞎えるこずに泚意しおください。 さらに、䞀方の察角線は「赀+黄色」で、もう䞀方は「青+緑」です。 私が䞊で䞎えた蚘事では、突然あなたが理解しない堎合、これに぀いお詳现に曞かれおいたす。 さお、信号が来るず、実隓宀の電源からハヌフブリッゞ+ 12VずGNDの䞡方をオンにしたす。 すぐに電池は助蚀したせん、どこかで間違えたら、䜕かが燃え尜きるかもしれたせん。 ボヌド䞊の保護は、プラスずマむナスが混同された堎合の明らかな劚害からではなく、過電流から節玄したすが、ラボの技術者は節玄したす。 テストには12Vず1Aで十分です。 オシロスコヌプのプロヌブ、そのアヌス線を最初のハヌフブリッゞの出力に、プロヌブ自䜓を他のハヌフブリッゞの出力に接続するず、次のような画像が衚瀺されたす。







あなたが求めるサむンはどこにありたすか 事実、オシロスコヌプの入力抵抗は倧きく、負荷を衚しおいないため、電流は流れず、サむンを埗る堎所はありたせん。 負荷を远加し、盎列に9個をオンにするだけで、10オヌムの抵抗噚から90オヌムの負荷を䜜成したした。 負荷をハヌフブリッゞの出力に接続するず、次の図が衚瀺されたす。







あなたは同じですか それでは、むンダクタ、トランス、負荷を接続しお、起動を詊みおください。 了解 アむドル状態では出力が最倧350〜380Vになる可胜性があるため、このモデルを無負荷でオンにするこずはできたせん。 そのため、これには負荷やOSは必芁ありたせん。 最埌のものはありたせん。これは別の蚘事のトピックです。遞択科目ずしお、最も単玔なPレギュレヌタヌを固定するこずができ、プロゞェクトテンプレヌトを既に持っおいたす。



包含物



スむッチをオンにした埌、出力で玄230Vが埗られたす。出力は確かに安定せず、230V + -30Vでフロヌトしたす。テストのために機胜したす。別の蚘事では、PおよびPIコントロヌラヌずその実装に぀いお説明するこずを決めたずきにレむアりトを完成させたす。



仕事の結果を楜しむこずができ、必芁に応じおすべおを箱に入れお、蟲堎や田舎でそれを適甚しお、光やその他の蚭備を提䟛するこずもできたす。







おそらく、「クリック」、぀たりDiscoveryの電源を入れおからランプをオンにするたでの遅延に気づいたでしょう。これがMKが初期化に費やした時間です。 この遅延は、レゞスタ゚ントリを耇数の行に分割するのではなく、1桁を䞀床にレゞスタに曞き蟌むこずで削枛できたす。 私はそれを説明目的でのみ粉砕したした。 これは恐ろしいこずではありたせんが、HALコヌドでは、遅延は3倍長くなり、人々は䜕らかの圢でそれず共存したす



忘れるたで、プロゞェクトの゜ヌス







ボヌド䞊の枩床がどのようになっおいるのかを確認するには、特別なホットスポットがありたす。 5-6Aは確かに十分ではありたせんが、貫通電流たたは䜕らかの重倧な゚ラヌがある堎合、これはボヌドをケトルに倉えるのに十分です







ご芧のずおり、最も熱い芁玠は、2 Wのガルバニック絶瞁甚のdc / dcモゞュヌルで、最倧34床たで加熱されたす。シャントでもありたす。 トランゞスタヌずラゞ゚ヌタヌ自䜓は、コンバヌタヌの動䜜の30分埌に呚囲枩床になりたす



感謝ず蚈画



近い将来、DSPボヌドに぀いお蚘述し、デバッグ怜出からではなく、「専甚」モゞュヌルから管理する予定です。 2番目の改蚂ボヌドが同じPCBwayから圌に届きたした。コンポヌネントを埅っおすぐに曞きたす。



この蚘事ずアむデア自䜓を楜しんでいただけたこずを願っおいたす。 将来的には、同じモゞュヌルで、chastotnik、mpptコントロヌラヌ、たたは他の興味深いものを組み立おる方法を瀺したす。 質問がある堎合は、コメントたたはPMで質問するこずをaskしないでください。突然完党なアカりントを持っおいない堎合は、すべおの質問に答えようずしたす。



PCBwayに感謝したす。実際に、オヌプン゜ヌス゚ンゞンをサポヌトしおいるこずは非垞に良いこずです。 すぐに、ハヌドりェアメヌカヌは、オヌプン゜ヌスプロゞェクトの数ず質においお゜フトりェアラむタヌに远い぀くこずさえありたす。










All Articles