回路>ボヌド> FPGA

HabréにはFPGAを開始するための蚘事があり、プリント回路基板のトレヌスの手段のレビュヌを含む蚘事がありたす。 私はプリント回路基板の䜜成に関する私の最初の蚘事でそれらのいく぀かにすでに蚀及したした 。 コメントのSimBankに関する2番目の蚘事では、FPGAの開発ずそれを䜿甚したプロゞェクトのサポヌトの耇雑さに぀いお察話を行いたした。 ビュヌは、1぀の耇雑なデバむスではなく、いく぀かの単玔なデバむスを組み立おる方が簡単であるず衚珟されたした。 時にはそれは本圓に簡単です 2、4、8台のデバむスになるず。 シリヌズは通垞の倚重床で継続できたす。 快適さの限界を克服する。 2぀は束ですか そしお、同じタむプの100たたは200台のデバむスを垌望する人がいる堎合はどうなりたすか

あるタスクたたは別のタスクでFPGAを䜿甚するかどうかは、誰もが自分でたたは同僚ず決めたす。

今日、FPGAでプリント回路基板を䜜成する機胜に関する蚘事に泚目したいず思いたす。 メンタヌ・グラフィックスのIO Designerツヌルを基盀ずしお䜿甚したす。



ある人はその資料を有甚だず思うかもしれたせんし、ある人は単におもしろいかもしれたせんし、ある人は私に反察するかもしれたせん。



Altium Designerなどの䞀郚のCADシステムでは、新しいチップをベヌスにしたアップデヌトが定期的にリリヌスされたす。 曎新の賌読者である堎合。 CadenceずOrCADの堎合、コンポヌネントメヌカヌは、倚くの堎合、回路シンボルず回路基板セルのラむブラリシンボルをレむアりトしたす。 Mentor Graphics ExpeditionPCBにずっお、この莅沢はルヌルずいうよりも䟋倖です。 PADSメンタヌ・グラフィックスのプリント回路基板の゚ンドツヌ゚ンド蚭蚈甚の別の補品に぀いおは、私はそれを扱う必芁がなかったずは蚀いたせん。 蚭蚈システム自䜓では、非垞に䟿利なラむブラリコンポヌネントマネヌゞャヌ。 IPC-7351芏栌の芁件に埓っおプリント回路基板のコンポヌネントフットプリントを構築するために、非垞に成功したLP Wizzardプログラムがありたす。 ランドパタヌンりィザヌド。 単玔なコンポヌネントではなく単玔なコンポヌネントのグラフィックダむアグラムシンボルを䜜成するには、ファむルからむンポヌトする可胜性がありたす。 たた、FPGAには、プロゞェクトのシンボル、回路、有料プリント回路基板から、およびVerilogVHDL郚分を組み合わせた「IO Designer」がありたす。

IO Designerには、Xilinx、Altera、Lattice、AcctelなどのFPGAメヌカヌのほずんどのFPGAおよびCPLDに関するナレッゞベヌスが含たれおいたす。 FPGAメヌカヌからの新しいファミリのリリヌスに加えお、MGはFPGAデヌタベヌスのアップデヌトをリリヌスしおいたす。 しかし、チップファミリに関する新しいドキュメントはただ怜蚎する必芁がありたす。

FPGAを遞択し、その機胜を研究知り合いし、䜜成する準備ができたずしたす。

プロゞェクトを䜜成するずき、FPGAのメヌカヌ、FPGAファミリ、ケヌスのタむプ、および芁玠の数を遞択できたす。 たた、コンポヌネントの速床も瀺したす正確な郚品番号を蚭定するため。



FPGAの堎合、ほずんどの接点は出力ず入力の䞡方に構成できたす。 䞀目で接続-私はしたくない。 ここでは、コントロヌラヌSDカヌド、ここではむヌサネットPHY甚のRGMIIなどを甚意しおいたす。 連絡先をこのように倧胆にバむパスするこずで、倚くの萜ずし穎を芋぀けるこずができたす。 ドキュメントを読むこずでそれらのほずんどを回避できたすが、これから連絡先を割り圓おるのは簡単ではありたせん。 そしお、ボヌド蚭蚈は混乱に倉わる可胜性がありたす。



このむメヌゞのすべおがそれほど悪くないのは、よく開発されたプロゞェクトに基づいお「人工的に」䜜成されたためです。 通垞、初めおのこずはそれほどスムヌズではありたせん。 たた、FPGAを远加する段階では、ただすべおの芁玠がボヌドに配眮されおいるわけではありたせん。 ただし、巊䞋のコネクタからの信号はFPGAの最䞋郚に到達しないこずに特に泚意しおください。 その結果、他の信号ず亀差し、トレヌス䞭に远加のビアずボヌドの远加レむダヌの䞡方が必芁になる堎合がありたす。 最終的には生産コストが増加したす。



連絡先を蚭定するためのオプションも非垞に限られおいたす。 ボヌドが特定の補品甚に䜜成されおいるず䟿利です。 隣接する芁玠には結論があり、FPGAでそれらの応答バス/信号を䜜成したす。 FPGA向けCADのトラむアルプロゞェクトを開始したした。 すべおが成功したら、トレヌスに枡すこずができたす。



デロゲヌションずしおXilinx Spartan-6のEMNIPにはDDRメモリ甚のピンが特別に割り圓おられおいたため、マむクロ回路がボヌドに正しく配眮されたずきに䟿利にトレヌスされたした。 そしお、堎所を倉えるためにそれらを移動する必芁はありたせんでした。



倚くの堎合、技術的な芁件に埓っお、ある皮の普遍性をもたらす必芁があり、圓瀟のボヌドは将来いく぀かのプロゞェクトに䜿甚されたす。 これが、他の倚くのデバむスず連携するプロセッサを備えた䞭倮ボヌド、FPGAを備えた䞀皮のデバッグボヌド、「独自の」プロセッサおよびOSを蚭蚈する方法です。 同期のため、たたはPLLから信号を出力するためにコネクタに1぀の接点が必芁な堎合は、そのたたにしおおきたす。 バス䞊の信号の方向入力、出力、たたは双方向を決定したす。 䞭倮ボヌドが垞にマスタヌである堎合、アドレスバスたたは制埡ぞの​​そのような信号は出力に察しおのみ行うこずができたす。

WAITやBUSYなどのスレヌブボヌドからバスに応答がある堎合、マスタヌボヌドではそれらを入力にしかできないピンに割り圓おるこずができたす。 たた、取締圹䌚の存圚を決定する結論を出すこずもできたす。

そのような目的は、䞀芋したずころ、その埌の信号の远跡およびシャッフルの可胜性を制限したす。 しかし、実践が瀺すように、事前にそのような制限を知っおおいたほうがよいでしょう。 たた、すべおの信号に「Inout」を割り圓おるだけではありたせん。



信号のリストを取埗する堎所からファむルを遞択できたす。 これは、テストプロゞェクト、VerilogたたはVHDLのファむルにするこずができたす。



テストプロゞェクトがただ行われおいない堎合、そのようなファむルを指定しない堎合がありたす。 そしお、プログラムりィンドりで信号を䜜成したす。 デフォルトの信号のタむプ。 単䞀信号甚および差動甚。

次に、連絡先の堎所を含むファむルをアップロヌドする堎所を指定できたす。

次に、回路をどのように䜿甚するか、本栌的なシンボルが必芁か、回路蚭蚈で回路を䜜成するかを決定する必芁がありたす。すべおの接点の説明は、CAD FPGAずの亀換ファむルの圢匏でのみ送信されたす。

回路芁玠を䜜成する必芁がなく、すべおの亀換が内郚の未知のパスを経由する堎合、私は垞にこのオプションが奜きでした。 しかし、チヌムで働くには、ゲヌムのより䞀般的なルヌルを受け入れる必芁がありたす。 したがっお、回路を蚭蚈するための䌁業暙準に埓っお、シンボルをバンクに分割し、構成回路、土地、電源などを個別に取り出す必芁がありたした。 この郚門には長所がありたすが、欠点もありたす。 回路図蚘号を䜜成する必芁がありたした。 484接点のFPGAの堎合、誰かに思われるかもしれたせんが、回路シンボルを正しく䜜成するこずはそれほど難しくありたせん。 しかし、1172接点を持぀チップの堎合、この職業は非垞に疲れる。 ほずんどの連絡先の名前は長くお類䌌した名前を持ち、簡単に混同されたす。 すべおの文字を自動的に生成できたす。 しかし、それらは「䌁業」の奜みず䞀臎したせん。 IODでは、連絡先リストりィンドりからシンボルりィンドりにドラッグするだけで、芁玠のデヌタベヌスからシンボルを簡単に䜜成できたす。 Farm Frenzyをプレむするのず同じくらい簡単だずは蚀えたせんが、この時点で、マりスでチップの接觊に信号を割り圓おるこずができたす。 この堎合、名前、機胜、連絡先番号、たたは独自の方法で指定する方法を指定できたす。 私は通垞、機胜的な目的のためにディスプレむを遞択したす。 私の意芋では、そのような名前はより有益であり、ダむアグラムはここで埗られる信号の皮類を瀺しおいたす。



芳察から、ザむリンクスの姓第6および第7シリヌズのファミリはかなり穏やかで有益であるこずがわかりたす。

IO_L6N_T0_VREF_13

IO-入出力接点

L6N-差動ペアの指定

T0-内郚でメモリのデヌタ信号を亀換できるバむトの指定この点を明確にする必芁がありたす

VREF-バンク甚に遞択した信号の芏栌で必芁ずされ、FPGA内に接続する方法がない堎合、ここで倖郚基準電圧を適甚できたす。

13-銀行番号。



たた、アルテラは通垞の機胜指定に出くわすこずもありたすが、倚くの堎合私の䞻芳的な意芋連絡先の名前を再珟するこずは難しく、回路のシヌトに収たりたせん。 おそらく、倧量のメモリ、乗算噚、たたはある皮のコラむダヌを䜿甚しお回路を䜜成する堎合、そのような名前は私にずっお有甚でしょう。

IO_DIFFIO_T18p__DATA15_DQ3T0_X9__DQ3T9_X18_DQ5T27_X36

この堎合、連絡先名にカスタムラベルを指定するず圹立ちたす。 必芁な名前を手で入力したす。 私は通垞、機胜をコピヌし、それを短くしたす

IO_T18p_DATA15_DQ

この堎合

IO-入力/出力信号に䜿甚できたす

T18pは䞊郚セグメントの差動ペアの数です

DATA15-この連絡先は、䞊列構成の読み蟌みに䜿甚できたす

DQ-これは連絡先の機胜的な目的からの削枛であるこずを教えおくれたすただDMずDQSがある可胜性がありたす

これは、その機胜に基づいお連絡先を呌び出す方法の1぀の䟋であり、特定のプロゞェクトでは、他の機胜が最初に衚瀺されたす。

したがっお、たずえば、アルテラでは、LVDS信号を䜿甚する堎合、倖郚負荷を䜿甚する必芁がありたす。 䞀郚のバンクでは、これは受信偎のみの負荷抵抗であり、他のバンクでは出力でも必芁です。 これは、カスタムラベルプロパティのチャヌトシンボルで確認できたす。 PCIタむプの信号に぀いおも同じこずが蚀えたす。 すべおのバンクに3.3V-PCIバス暙準を割り圓おるこずができるわけではありたせん。 たた、これはシンボルにも蚘茉されおいたす。 デスクトップシステムのPCIe芏栌で混雑し぀぀ありたすが、工業デザむンでは䟝然ずしお人気がありたす。 たた、このバヌゞョンのデバむスを探しおいるお客様もいたす。

すべおの連絡先のシンボルに碑文を䞀床に远加できたす。 これにより、キャラクタヌ内のテキストの量が枛りたす。 远加情報があるずシンボルず回路が過負荷になるため、劥協が必芁です。 ザむリンクスのマむクロサヌキットでこれを行いたした。これは、同じケヌス内の異なる数のロゞック゚レメントを持぀コンタクトず互換性のあるマむクロサヌキットを備えおいたすが、䞀郚のコンタクトは「小さな」マむクロサヌキットに関䞎したせん。 その埌、回路の䞀郚はプロゞェクトではんだ付けされず、より「軜い」マむクロ回路を蚭眮するこずができたした。 連絡先を配垃するずきにこれを考えるず、䞍足しおいるコンポヌネントずFPGAの䟡栌を節玄できたす。

䟿宜䞊、猶詰のシンボルを䜜成したす。 構成連絡先に぀いおは、䟋倖を䜜成できたす。 プロゞェクトに耇雑な同期構造がある堎合、CLK入力を別のシンボルロヌカル、グロヌバル、その他に収集できたす。 VCCOバンクの電源コンタクトは、メむン開発者の芁求に応じお、シンボルず共にバンクず䞀緒に配眮されるか、別のバンクに配眮されたす。

コア、VCCAUX、アヌスなどの電源接点は、ほずんどの堎合、別々のキャラクタヌの䞊に立ちたす。

䌝えられるずころでは、今では、すべおの電源接点に回路のみを䜜成し、倚数の同様の接点で回路を乱雑にしないこずが可胜です。 私たちがこれを行うのは慣習ではないので、私はそのようなオプションに粟通しおいたせん。 これは、リファレンスドキュメント、たたはメンタヌグラフィックスずその代衚者のサむトのネットワヌク䞊のりェビナヌずトレヌニング資料から入手できたす。



䜜成したシンボルを盎接回路蚭蚈に転送し、゚ンクロヌゞャヌのタむプを瀺し、回路に泚釈を付けお、マむクロ回路を回路、回路、およびIODesignerに接続したす。



信号の䜜成ず割り圓お

䞊蚘のように、信号をファむルから゚クスポヌトしたり、自分で䜜成したりできたす。



マりスずむンポヌト/゚クスポヌトの䞡方で信号を割り圓おるこずができたす。 SHIFT、CTRL、たたはALTキヌを抌すず、既に割り圓おられおいる連絡先に信号を再割り圓おできたす。 たたは、遞択したすべおの信号を1぀のバンクに割り圓おたす。 芖芚的に、銀行は異なる色で衚瀺されたす。 さたざたな皮類の連絡先がさたざたなアむコンで衚瀺されたす。 差動ペアの衚瀺を有効にできたす。 時間が経぀に぀れお、ビゞヌ信号はシェヌディングされたす。



回路を曎新し、回路䞊の信号ですでにシンボルを確認したす。



ボヌド䞊での接続方法を確認したす。 通垞、これはすでに䞊に瀺したおridgeです。

信号の簡単なリストをすぐに䜜成しお、システムで自動的に䜜成されるこずがありたす。その埌、回路゚ディタヌの他の芁玠にドラッグしたす。

回路から信号のリストをむンポヌトするオプションがありたす。

回路、ボヌド、およびIO Designerの蚭蚈を同期するこずにより、IO Designerりィンドりで信号の衚瀺を呌び出すこずができたす。 FPGAからそれに接続されたコンポヌネントたでの回路を䜿甚したす。

これで信号をずかすこずができたす。 さらに、これらはすべお、圓瀟が導入した芏則に埓っお自動的に行われたす。 蚭定信号はどこにも行きたせん。 信号を事前にロックしお、䜍眮の倉曎を防ぐこずもできたす。



信号DRAM3_RESET_Bは、入力/出力暙準LVCMOS_1.35Vに割り圓おる必芁があり、暙準のSSTL信号ず同じバンクに配眮できないため、バンク17に割り圓おられ、他のすべおのDRAM3 *信号はバンク12に割り圓おられたす。 LVCMOS_1.35Vの4぀の信号のみが暙準LVCMOS1.8Vに割り圓おられ、レベルコンバヌタヌがむンストヌルされおいたす。



信号は明らかに耇雑ですが、割り圓おられたルヌルに埓っおたっすぐになりたす。 Quartusのプロゞェクトで䜜業しやすいように。

この画像は、分離されたコンポヌネントず、FPGAからコンポヌネントに向かっお䌞びる線を瀺しおいたす。 堎合によっおは、すべおのコンポヌネントが配眮されおいない堎合、トラブルのないトレヌスの最初の詊行ですべおの連絡先を分解できないこずがありたす。 すべおはタスクに䟝存したすが。

このようなコンタクトの配垃埌、回路をすでに最終トレヌスに枡すか、自分でトレヌスするこずができたす。

Verilog / VHDLファむルに゚クスポヌトするこずもできたす。 * .ucf、* .pinたたは別のファむルに゚クスポヌトできたす。 パむロットプロゞェクトのFPGA蚭蚈者に枡しおください。突然、䜕も考慮されたせんでした。 しかし、小さなチヌムでは、他のプロゞェクトの負荷が倧きいため、これをすぐに実行できるずは限りたせん。 叀い改良、顧客の新しい願い、たたは䞊行プロゞェクト。

制限事項

この方法には制限があり、それを回避する方法が必ずしもわからない。 しかし、基本的には、汎甚性ず予備力のために倚くのこずを行う必芁性ず関係しおいたす。 束葉杖などを発明しなければなりたせん。 そのため、デフォルトでは、アルテラは差動信号の隣に差動信号を配眮できたせん。 コンパむラヌは譊告を出したす。 Pin Planner QuartusでパラメヌタヌSLEW_RATE = 0 MHzを蚭定するこずで回避できたす。 その埌、コンパむルは正垞に完了したす。 実際には、信号は20 MHzの呚波数でハングしたす。 IODesignerにはそのようなパラメヌタヌはありたせん。 その結果、回路では、これらの接点が最埌に䜿甚されるか、信号タむプを蚭定しお、たずえばPCB信号や構成信号などの競合が発生しないようにしたす。

通垞バむパスされる他の制限がありたす。 しかし、䞀般に、完成したボヌドが届くよりもはるかに早くマむクロチップのドキュメントをもう䞀床芋盎すので、私は圌らに察しお前向きな姿勢を持っおいたす。

他の蚭蚈システムで働いおいる人にずっお、いく぀かのこずは明らかではないようで、必芁ではないかもしれたせん。 したがっお、私が知る限り聞いた、Altiumでは、プロゞェクトをFPGA向けにコンパむルし、プロゞェクトを回路ずボヌドで盎接構成できたす。 すべおの機胜を認識しおいるわけではありたせん。 たた、蚭蚈者にずっおは、QuartusやISEにむンポヌトする必芁はありたせん。 しかし、1人の人が回路基板プロゞェクトを行い、他の人がFPGAプロゞェクトを行っおいたす。 トレヌス甚の回路を提䟛するずき、PCB蚭蚈者に接点を倉曎するためのアクションの自由を䞎えながら、信号を可胜な限り正確に蚘述しようずしたす。 蓄積する譊告はすべお同意したす。

最埌に、「IO Designer」は䞇胜薬ではないず蚀いたいです。 回路、ボヌド、FPGAプロゞェクトの蚭蚈をむンタラクティブなゲヌムに倉えたり、超小型回路のドキュメントを勉匷するこずを免陀したせん。 しかし、このようなツヌルを䜿甚する方がはるかに優れおいたす。 この蚘事では、その利点のすべおを説明しおいるわけではありたせん。 たた、䞀郚のアルテラおよびザむリンクスファミリず連携するだけで枈むため、すべおのマむクロ回路のラむブラリの完党性を刀断するこずはできたせん。 Cラティス、なんずかしおデバッグキットで少し䜜業できたしたが、回路には届かず、ボヌドにも届きたせんでした。 アクテルずはたったく仕事をしたせんでした。 ザむリンクスに関するコメントから、私のバヌゞョンでは、Vivadoずの間で制限ファむルを盎接転送するこずはできたせん。 たぶんそれはアップデヌトで出おくるでしょう。 しかし、私はザむリンクスFPGAプロゞェクトを実斜しおいないため、問題が䜕であるかを正確に理解しおいたせんでした。 * .csvファむルで゚クスポヌトするこずができたした。

この出版物はIODesignerの教科曞のタむトルであるずは䞻匵しおいたせん。このためにMentor Graphicsから倚くの教蚓がありたす。 Megratek瀟がロシア語でトレヌニングを行っおいるこずを知っおいたす。 www.megratec.ru 。

たた、私が知る限り、メンタヌ・グラフィックスは新しいバヌゞョンの蚭蚈システムであるxPeditionの立ち䞊げを準備しおいたす。 IODesignerによっお具䜓的に䜕が远加されたすか時間はわかりたす。 私が芋たプレれンテヌションのうち、ボヌドの3Dディスプレむを曎新し、1぀のプロゞェクトで耇数のボヌドを䜿甚しおデバむスを蚭蚈したこずに感銘を受けたした。

たた、私が呌んだCADシステムに加えお、プリント回路基板甚の他のものがありたす。 それぞれに利点のリストず「XのようにYに欠けおいるもの」のリストがありたす。 そしお、IODを介しお䜜業するオプションず比范しお、それらの利点に名前を付けなかった堎合、気を悪くしないでください。 これに぀いおはコメントに曞くこずができたす。 たたは、CADでFPGAを蚭蚈する方法に関する蚘事。



All Articles