рд╡реАрдПрд▓рдПрд╕рдЖрдИ рдкреНрд░реЛрдЧреНрд░рд╛рдореЗрдмрд▓ рд▓реЙрдЬрд┐рдХ рдкрд░ рдЖрдзрд╛рд░рд┐рдд рдбрд┐рдЬрд┐рдЯрд▓ рдЙрдкрдХрд░рдгреЛрдВ рдХрд╛ рд╡рд┐рдХрд╛рд╕

рдЙрдкрдХрд░рдг рдХреЗ рд╡рд┐рдХрд╛рд╕ рдХреЗ рд▓рд┐рдП рд╕рдорд░реНрдкрд┐рдд рд▓реЗрдЦ рд╕рдордп-рд╕рдордп рдкрд░ рд╣рдм рдкрд░ рджрд┐рдЦрд╛рдИ рджреЗрддреЗ рд╣реИрдВ рд╣рд╛рд▓рд╛рдБрдХрд┐, рдЙрдирдореЗрдВ рд╕реЗ рдЕрдзрд┐рдХрд╛рдВрд╢ рд╕реИрджреНрдзрд╛рдВрддрд┐рдХ рдкрджреЛрдВ (рдЬреЛ рддрд╛рд░реНрдХрд┐рдХ рддрддреНрд╡ рд╣реИрдВ, рдЯреНрд░рд┐рдЧрд░ рдЖрджрд┐) рд╕реЗ рдЖрдЧреЗ рдмрдврд╝рддреЗ рд╣реИрдВ рдФрд░ рдЗрд╕ рдкрд░ рд░реЛрдХ рд▓рдЧрд╛рддреЗ рд╣реИрдВ, рдпрд╛ "рдпрд╣ рд╕реНрд╡рдпрдВ рдХрд░реЗрдВ" рдкрд╣рд▓реВ рдореЗрдВ рдореБрджреНрджреЗ рдкрд░ рд╡рд┐рдЪрд╛рд░ рдХрд░реЗрдВ, рдЕрд░реНрдерд╛рддреНред рдХрд┐ рдПрдХ рд╡реНрдпрдХреНрддрд┐ рдШрд░ рдкрд░ рд╕реНрд╡рддрдВрддреНрд░ рд░реВрдк рд╕реЗ рдмрдирд╛ рд╕рдХрддрд╛ рд╣реИред рдореИрдВ рдЗрд╕ рдмрд╛рд░реЗ рдореЗрдВ рдмрд╛рдд рдХрд░рдирд╛ рдЪрд╛рд╣реВрдВрдЧрд╛ рдХрд┐ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХреЛ рдбрд┐рдЬрд╛рдЗрди рдХрд░рдиреЗ рдХреА рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдЗрд╕ рд░реЛрдЯреА рдФрд░ рдордХреНрдЦрди рдХреЛ рдХрдорд╛рдиреЗ рд╡рд╛рд▓реА рдПрдХ рдЫреЛрдЯреА рд╕реА рдХрдВрдкрдиреА рдХреЗ рджреГрд╖реНрдЯрд┐рдХреЛрдг рд╕реЗ рдХреИрд╕реА рджрд┐рдЦрддреА рд╣реИред

рд▓реЗрдХрд┐рди рдкрд╣рд▓реЗ, рдЗрд╕ рдХреНрд╖реЗрддреНрд░ рдХреА рдмрд╛рд░реАрдХрд┐рдпреЛрдВ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдХреБрдЫ рд╢рдмреНрдж (рдХрдо рд╕реЗ рдХрдо рд╣рдорд╛рд░реЗ рджреЗрд╢ рдореЗрдВ)ред рд╣рдореЗрдВ рдирд┐рдореНрдирд▓рд┐рдЦрд┐рдд рд╡рд╛рд╕реНрддрд╡рд┐рдХрддрд╛рдУрдВ рд╕реЗ рдЖрдЧреЗ рдмрдврд╝рдирд╛ рд╣реЛрдЧрд╛:
  1. рдкреНрд░реЛрд╕реЗрд╕рд░ рдФрд░ рдЕрдиреНрдп рд╡рд┐рднрд┐рдиреНрди рдорд╛рдЗрдХреНрд░реЛрдХрд┐рд░реЗрдЯреНрд╕ рдХреА рд░рд┐рд╣рд╛рдИ рдореЗрдВ рдЗрдВрдЯреЗрд▓ рдпрд╛ рдХрдо рд╕реЗ рдХрдо рдЯреАрдЖрдИ рдХреЗ рд╕рд╛рде рдкреНрд░рддрд┐рд╕реНрдкрд░реНрдзрд╛ рдХрд░рдирд╛ рд╣рдорд╛рд░реА рд╕реНрдерд┐рддрд┐рдпреЛрдВ рдореЗрдВ рдЕрд╕рдВрднрд╡ рд╣реИ - рдкреНрд░рд╡реЗрд╢ рдореВрд▓реНрдп рдмрд╣реБрдд рдЕрдзрд┐рдХ рд╣реИ, рдмрд┐рдХреНрд░реА рдмрд╛рдЬрд╛рд░ рд╡рд┐рднрд╛рдЬрд┐рдд рд╣реИрдВ, рдФрд░, рдФрд░, рдмрдбрд╝реЗ рдФрд░ рдЖрд╡рд╢реНрдпрдХ рдЬреНрдЮрд╛рди рдФрд░ рдЕрдиреБрднрд╡ рдирд╣реАрдВ рд╣реИ;
  2. рд╕рднреА рдкреНрд░рдХрд╛рд░ рдХреЗ рдмрдбрд╝реЗ рдЗрд▓реЗрдХреНрдЯреНрд░реЙрдирд┐рдХреНрд╕ рдХреЗ рдЙрддреНрдкрд╛рджрди рдореЗрдВ рдЪреАрдиреА рдХреЗ рд╕рд╛рде рдкреНрд░рддрд┐рд╕реНрдкрд░реНрдзрд╛ рдХрд░рдиреЗ рдХрд╛ рдХреЛрдИ рдорддрд▓рдм рдирд╣реАрдВ рд╣реИ - рдЙрдирдХреА рд╢реНрд░рдо рд▓рд╛рдЧрдд рдХрдо рд╣реИ, рдЙрдирдХреА рдЙрддреНрдкрд╛рджрди рдХреНрд╖рдорддрд╛ рдЙрди рдкрд░ рд╕реНрдерд┐рдд рд╣реИ, рдФрд░ рдмрд┐рдХреНрд░реА рдмрд╛рдЬрд╛рд░ рдмрдбрд╝реА рдХрдВрдкрдирд┐рдпреЛрдВ рдХреЗ рд╣рд╛рдереЛрдВ рдореЗрдВ рд╣реИрдВ;
  3. рдЖрдк рд╡рд┐рднрд┐рдиреНрди рдмрд╛рдЬрд╛рд░реЛрдВ рдореЗрдВ рд╡рд┐рднрд┐рдиреНрди рдШрд░реЗрд▓реВ рдЗрд▓реЗрдХреНрдЯреНрд░реЙрдирд┐рдХреНрд╕ рдХреЗ рд╕рд╛рде-рд╕рд╛рде рдЕрд▓рд╛рд░реНрдо рд╕реЗ рд▓реЗрдХрд░ рдХреНрд░рд┐рд╕рдорд╕ рдХреА рд░реЛрд╢рдиреА рддрдХ рдмрд┐рдЦреЗрд░ рд╕рдХрддреЗ рд╣реИрдВред рдХреЛрдИ рдЗрд╕реЗ рдЬреАрддрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рд╡рд╛рдкрд╕реА рдХреА рджрд░ рдХрдо рд╣реИ, рдФрд░ рдмрд╣реБрдд рдкрд░реЗрд╢рд╛рдиреА рд╣реИ;
  4. рдЖрдк рдЧрд░реАрдмреЛрдВ (рд░реЛрдЬрдкрд┐рд▓) рдХрд╛ рд╕рдорд░реНрдерди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд░рд╛рдЬреНрдп рдХрд╛рд░реНрдпрдХреНрд░рдо рдореЗрдВ рднрд╛рдЧ рд▓реЗ рд╕рдХрддреЗ рд╣реИрдВред рдорд╣рд╛рди рд╡рд┐рд╖рдп, рд▓реЗрдХрд┐рди рд╡реЗ рдореБрдЭреЗ рдЖрдордВрддреНрд░рд┐рдд рдХрд░рдирд╛ рднреВрд▓ рдЧрдПред


рд╕рдлрд▓рддрд╛рдкреВрд░реНрд╡рдХ рдХрд╛рдо рдХрд░рдиреЗ рд╡рд╛рд▓реЗ рдХреБрдЫ рдореЙрдбрд▓реЛрдВ рдореЗрдВ рд╕реЗ рдПрдХ рдкрд╢реНрдЪрд┐рдореА рдЧреНрд░рд╛рд╣рдХреЛрдВ рдХреЗ рд▓рд┐рдП рдЕрдиреБрдмрдВрдз рд╡рд┐рдХрд╛рд╕ рд╣реИред рдпрд╣ рд╡рд┐рдЪрд╛рд░ рд╕рд░рд▓ рд╣реИ: рд╣рдо рдЙрдЪреНрдЪ-рддрдХрдиреАрдХреА рдЕрдиреБрд╕рдВрдзрд╛рди / рд╡рд┐рдХрд╛рд╕ рдХрд╛ рдЖрджреЗрд╢ рджреЗрддреЗ рд╣реИрдВ, рдХреИрд▓рд┐рдлреЛрд░реНрдирд┐рдпрд╛ рдореЗрдВ рдХрд╣реАрдВ рднреА рдПрдХ рд╕рд╛рде рдкрд░рд┐рдгрд╛рдо рдПрдХрддреНрд░ рдХрд░рддреЗ рд╣реИрдВ (рдЖрдорддреМрд░ рдкрд░ рдХрдИ рдордзреНрдпрд╕реНрдереЛрдВ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдПрдХ рд╢реНрд░реГрдВрдЦрд▓рд╛ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ) рдФрд░ рдЕрдВрддрддрдГ рдЙрдиреНрд╣реЗрдВ рдХреБрдЫ рдмрдбрд╝реЗ рдЗрд▓реЗрдХреНрдЯреНрд░реЙрдирд┐рдХреНрд╕ рд╡рд┐рдирд┐рд░реНрдорд╛рдг рдирд┐рдЧрдо рдХреЛ рдмреЗрдЪрддреЗ рд╣реИрдВред рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рд╡рд╣реА рдЗрдВрдЯреЗрд▓ред 2-3 рд╡рд░реНрд╖реЛрдВ рдХреЗ рдмрд╛рдж, рдпрд╣ рд╕рдм рдЬрдЯрд┐рд▓ рдЗрдХрд╛рдЗрдпреЛрдВ (рдЯреЗрд▓реАрдлреЛрди, рдореЙрдирд┐рдЯрд░, рдЖрджрд┐) рдХреЗ рд╣рд┐рд╕реНрд╕реЗ рдХреЗ рд░реВрдк рдореЗрдВ рд╣рдореЗрдВ рдПрдХ рд╕реБрдВрджрд░ рдмреЙрдХреНрд╕ рдореЗрдВ рджрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдЬрд┐рд╕рдореЗрдВ рдХрд▓рдВрдХ "рдореЗрдб рдЗрди рдпреВрдПрд╕рдП" (рдЬреЛ рджреБрд░реНрд▓рдн рд╣реИ) рдпрд╛ "рдореЗрдб рдЗрди рдЪрд╛рдЗрдирд╛" (рдмрд╣реБрдд рдЕрдзрд┐рдХ рдмрд╛рд░) рд╕реЛрдиреЗ рдХреЗ рдЯреБрдХрдбрд╝реЗ рдХреЗ рдЕрдиреБрд╕рд╛рд░ рд╣реИред рдкреНрд░рддрд┐ рдЧреБрдЪреНрдЫрд╛ред рдПрдХ рддрд░рдл, рд╕реНрдерд┐рддрд┐ рджреБрдЦрдж рд╣реИ - рд╣рдорд╛рд░реЗ рдкрд╛рд╕ рддрдХрдиреАрдХреА рд╢реНрд░реГрдВрдЦрд▓рд╛ рдирд╣реАрдВ рд╣реИ, рд▓реЗрдХрд┐рди рдХреЗрд╡рд▓ рдХреБрдЫ рд╕рдорд╕реНрдпрд╛рдУрдВ рдХреЛ рд╣рд▓ рдХрд░рдиреЗ рдореЗрдВ рд╕рдХреНрд╖рдо рд╣реИрдВред рд▓реЗрдХрд┐рди рдЖрд╢рд╛рд╡рд╛рдж рдХреЗ рдХрд╛рд░рдг рд╣реИрдВ - рдЗрд╕ рддрд░рд╣, рд░реВрд╕реА рдбреЗрд╡рд▓рдкрд░реНрд╕ рд╡реИрд╢реНрд╡рд┐рдХ рдкреНрд░рдгрд╛рд▓реА рдореЗрдВ рдкреНрд░рд╡реЗрд╢ рдХрд░рддреЗ рд╣реИрдВ рдФрд░ рдореВрд▓реНрдпрд╡рд╛рди рдЕрдиреБрднрд╡ рдкреНрд░рд╛рдкреНрдд рдХрд░рддреЗ рд╣реИрдВред рдореИрдВ рдЬрд┐рд╕ рдХрдВрдкрдиреА рдХреЗ рд▓рд┐рдП рдХрд╛рдо рдХрд░рддрд╛ рд╣реВрдВ, рд╡рд╣ рдореБрдЦреНрдп рд░реВрдк рд╕реЗ рд╡рд╛рдпрд░рд▓реЗрд╕ рд╕рдВрдЪрд╛рд░ рдХреЗ рдХреНрд╖реЗрддреНрд░ рдореЗрдВ рдЕрдиреБрд╕рдВрдзрд╛рди рдФрд░ рд╡рд┐рдХрд╛рд╕ рдореЗрдВ рдорд╛рд╣рд┐рд░ рд╣реИред рдЗрд╕рдХреЗ рдЖрдзрд╛рд░ рдкрд░ рдореИрдВ рдЖрдЧреЗ рдХреА рдХрд╣рд╛рдиреА рдХрд╛ рдЖрдпреЛрдЬрди рдХрд░реВрдВрдЧрд╛ред



рд╡рд┐рдХрд╛рд╕ рдХреА рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдХреИрд╕реА рджрд┐рдЦрддреА рд╣реИ?

рдкреНрд░рд╛рд░рдВрдн рдореЗрдВ, рдЧреНрд░рд╛рд╣рдХ рдХреБрдЫ рдЬрд╛рдирдХрд╛рд░реА (рд╕реБрдЭрд╛рд╡) рдкреНрд░рджрд╛рди рдХрд░рддрд╛ рд╣реИ рдХрд┐ рдХрд┐рди рдХрд╛рд░реНрдпреЛрдВ рдХреЛ рд╣рд▓ рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ рдФрд░ рдХрд┐рд╕ рд░реВрдк рдореЗрдВ рдкрд░рд┐рдгрд╛рдо рдкреНрд░рд╕реНрддреБрдд рдХрд░рдирд╛ рд╣реИред рдЖрдорддреМрд░ рдкрд░, рдпреЗ рдЧрдгрд┐рддреАрдп рдореЙрдбрд▓ рд╣реЛрддреЗ рд╣реИрдВ рдЬреЛ рдПрд▓реНрдЧреЛрд░рд┐рджрдо рдХреЛ рд╡рд┐рдХрд╕рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд╛рд░реНрдпрд╛рдиреНрд╡рд┐рдд рдХрд░рддреЗ рд╣реИрдВ рдФрд░ рдЙрдкрдХрд░рдг рдЬрд┐рдирдХреЗ рд╕рд╛рде рдЖрдк рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдореЗрдВ рдПрд▓реНрдЧреЛрд░рд┐рджрдо рдХреЗ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рдХреЛ рдкреНрд░рджрд░реНрд╢рд┐рдд рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред рджреЛрдиреЛрдВ рдкрдХреНрд╖реЛрдВ рдХреА рдмрд╛рддрдЪреАрдд рдореЗрдВ, рдПрдХ рддрдХрдиреАрдХреА рдХрд╛рд░реНрдп (рдЯреАрдУрдЖрд░) рд╕рдВрдХрд▓рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЬрд┐рд╕рдореЗрдВ рдирд┐рд░реНрдорд┐рдд рдбрд┐рд╡рд╛рдЗрд╕ рдХреЗ рдорд╛рдкрджрдВрдбреЛрдВ (рдЖрд╡реГрддреНрддрд┐ рд░реЗрдВрдЬ, рдбреЗрдЯрд╛ рд╕реНрдерд╛рдирд╛рдВрддрд░рдг рджрд░, рдЙрдкрдпреЛрдЧ рдХрд┐рдП рдЧрдП рдореЙрдбреНрдпреВрд▓реЗрд╢рди рдХреЗ рдкреНрд░рдХрд╛рд░, рдЖрджрд┐), рдбрд┐рд╡рд╛рдЗрд╕ рдХреА рд╡рд┐рд╢реЗрд╖рддрд╛рдУрдВ рдХреЗ рд▓рд┐рдП рдЖрд╡рд╢реНрдпрдХрддрд╛рдУрдВ рдФрд░ рдкрд░реАрдХреНрд╖рдг рд╡рд┐рдзрд┐рдпреЛрдВ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдкреВрд░реА рдЬрд╛рдирдХрд╛рд░реА рд╢рд╛рдорд┐рд▓ рд╣реЛрддреА рд╣реИред рдпрд╣ рдЕрдиреБрдорд╛рди рд▓рдЧрд╛рдирд╛ рдХрдард┐рди рдирд╣реАрдВ рд╣реИ (рдЪрдорддреНрдХрд╛рд░, рдЕрдлрд╕реЛрд╕, рдРрд╕рд╛ рдирд╣реАрдВ рд╣реЛрддрд╛ рд╣реИ), рд╡рд┐рдХрд╛рд╕ рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдХреЗ рджреМрд░рд╛рди рдЗрди рдорд╛рдкрджрдВрдбреЛрдВ рдХреЛ рд╕рдорд╛рдпреЛрдЬрд┐рдд рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ (рдПрдХ рд╣рд╛рде рдкрд░ рдФрд░ рджреВрд╕рд░реА рддрд░рдл), рдФрд░ рдЖрдкрдХреЛ рд╕рдордЭреМрддреЗ рдХреА рддрд▓рд╛рд╢ рдХрд░рдиреА рд╣реЛрдЧреАред рд╕рд╛рдорд╛рдиреНрдп рддреМрд░ рдкрд░, рд╡рд╛рдпрд░рд▓реЗрд╕ рдбреЗрдЯрд╛ рдЯреНрд░рд╛рдВрд╕рдорд┐рд╢рди рдХреЗ рд▓рд┐рдП рдмрдирд╛рдИ рдЧрдИ рдбрд┐рд╡рд╛рдЗрд╕ (рдЯреЗрд▓реАрдлреЛрди, рдореЙрдбреЗрдо) рдХреА рд╕рдВрд░рдЪрдирд╛ рдХреБрдЫ рдЗрд╕ рддрд░рд╣ рджрд┐рдЦрддреА рд╣реИ:





рдЗрд╕рдХреЗ рдмрд╛рдж рд╕рд┐рд╕реНрдЯрдо рдбрд┐рдЬрд╛рдЗрди рдХрд╛ рдЪрд░рдг рд╣реЛрддрд╛ рд╣реИ ред рдбрд┐рд╡рд╛рдЗрд╕ рдХрд╛ рдПрдХ рдмреНрд▓реЙрдХ рдЖрд░реЗрдЦ рдФрд░ рдШрдЯрдХреЛрдВ рдХреЗ рдмреАрдЪ рд╕рдВрдмрдВрдз рдЦреАрдВрдЪрд╛ рдЬрд╛рддрд╛ рд╣реИред рдХрд╛рд░реНрдп рдХреА рд╕рдВрд╕рд╛рдзрди рдЦрдкрдд рдХрд╛ рдЕрдиреБрдорд╛рди рд▓рдЧрд╛рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЬреЛ рдЙрдкрдХрд░рдг рдмрдирд╛рдП рдЧрдП рдПрд▓реНрдЧреЛрд░рд┐рджрдо рдХреЛ рд▓рд╛рдЧреВ рдХрд░реЗрдВрдЧреЗ, рдЙрдирдХрд╛ рдЪрдпрди рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рджреНрд╡рд╛рд░рд╛ рдФрд░ рдмрдбрд╝реЗ, рддреАрди рддрд░реАрдХреЗ рд╣реИрдВ:
  1. DSP рдкреНрд░реЛрд╕реЗрд╕рд░ (рдбрд┐рдЬрд┐рдЯрд▓ рд╕рд┐рдЧреНрдирд▓ рдкреНрд░реЛрд╕реЗрд╕рд░) рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░реЗрдВред рд╡реЗ рдЙрди рд╕реНрдерд┐рддрд┐рдпреЛрдВ рдореЗрдВ рдЕрдЪреНрдЫреЗ рд╣реИрдВ рдЬрд╣рд╛рдВ рдбреЗрдЯрд╛ рдкреНрд░рд╡рд╛рд╣ рдХреЗ рд▓рд┐рдП рдЬрдЯрд┐рд▓ рдПрд▓реНрдЧреЛрд░рд┐рджрдо рдХреЛ рд▓рд╛рдЧреВ рдХрд░рдирд╛ рдЖрд╡рд╢реНрдпрдХ рд╣реИ, рди рдХрд┐ рдмрд╣реБрдд рддреЗрдЬрд╝ рдЧрддрд┐ рд╕реЗ - рдПрд▓реНрдЧреЛрд░рд┐рджрдо рдЬреЛ рдХреНрд░рдорд┐рдХ рдХрд╛рд░реНрдпрдХреНрд░рдореЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рд╡рд░реНрдгрди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╕реБрд╡рд┐рдзрд╛рдЬрдирдХ рд╣реИрдВред рджреБрд░реНрднрд╛рдЧреНрдп рд╕реЗ, рдЙрдирдХрд╛ рдкреНрд░рджрд░реНрд╢рди рд╕рднреА рдорд╛рдорд▓реЛрдВ рдореЗрдВ рдкрд░реНрдпрд╛рдкреНрдд рдирд╣реАрдВ рд╣реИред рдкреНрд░рддрд┐ рд╕реЗрдХрдВрдб рдХрдИ рдмрд┐рд▓рд┐рдпрди рдЧреБрдгрд╛ - рдпрд╣ 100 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ рдпрд╛ рдЙрд╕рд╕реЗ рдЕрдзрд┐рдХ рдХреЗ рдбреЗрдЯрд╛ рдкреНрд░рд╡рд╛рд╣ рджрд░реЛрдВ рдкрд░ рдмрд┐рд▓реНрдХреБрд▓ рднреА рдирд╣реАрдВ рд╣реИред
  2. рдХрд╕реНрдЯрдо рд╡реАрдПрд▓рдПрд╕рдЖрдИ (рдПрдПрд╕рдЖрдИрд╕реА) - рдЕрдореАрд░ рдФрд░ рдмреЛрд▓реНрдб рдХреЗ рд▓рд┐рдП рдПрдХ рд╢рд╛рдирджрд╛рд░ рддрд░реАрдХрд╛ред рд╡реЗ рдПрдХ рд╡рд┐рд╢рд┐рд╖реНрдЯ рдХрд╛рд░реНрдп рдХреЗ рд▓рд┐рдП рдбрд┐рдЬрд╝рд╛рдЗрди рдХрд┐рдП рдЧрдП рд╣реИрдВ, рдЗрд╕рд▓рд┐рдП, рдЕрдирд╛рд╡рд╢реНрдпрдХ рддрд░реНрдХ рд╢рд╛рдорд┐рд▓ рдирд╣реАрдВ рд╣реИрдВ; рдСрдкрд░реЗрдЯрд┐рдВрдЧ рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ 1-2 GHzред рдЙрди рдорд╛рдорд▓реЛрдВ рдореЗрдВ рдЬрдм рдЙрдкрдХрд░рдгреЛрдВ рдХреЗ рдПрдХ рдмрдбрд╝реЗ рдмреИрдЪ (рд╕реИрдХрдбрд╝реЛрдВ рдЯреБрдХрдбрд╝реЗ рдХреЗ рд╕реИрдХрдбрд╝реЛрдВ) рдХрд╛ рдЙрддреНрдкрд╛рджрди рдХрд░рдиреЗ рдХреА рдпреЛрдЬрдирд╛ рдмрдирд╛рдИ рдЬрд╛рддреА рд╣реИ, рдПрдХ рдХрд╕реНрдЯрдо рд╡реАрдПрд▓рдПрд╕рдЖрдИ рдХрд╛ рдирд┐рд░реНрдорд╛рдг рдЖрдкрдХреЛ рдкреНрд░рддрд┐ рдпреВрдирд┐рдЯ рдиреНрдпреВрдирддрдо рдореВрд▓реНрдп рдкрд░ рдЕрдзрд┐рдХрддрдо рдкреНрд░рджрд░реНрд╢рди рдкреНрд░рд╛рдкреНрдд рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИред рджреБрд░реНрднрд╛рдЧреНрдп рд╕реЗ, рдЖрдирдВрдж рдмрд╣реБрдд рдорд╣рдВрдЧрд╛ рд╣реИред рдПрдХ рд▓рд╛рдЦ рд╕реЗ рдЕрдзрд┐рдХ рддрдХрдиреАрдХреА рдорд╛рдирдХреЛрдВ рдХреЗ рдЕрдиреБрд╕рд╛рд░ рдорд╛рдЗрдХреНрд░реЛрдЪрд┐рдкреНрд╕ рдХреЗ рдПрдХ рдСрдбрд┐рдЯ рдХреЗ рдирд┐рд░реНрдорд╛рдг рдореЗрдВ рд▓рдЧрднрдЧ 1 рдорд┐рд▓рд┐рдпрди рдбреЙрд▓рд░ рдХрд╛ рдЦрд░реНрдЪ рдЖрддрд╛ рд╣реИред
  3. рд╡реАрдПрд▓рдПрд╕рдЖрдИ рдкреНрд░реЛрдЧреНрд░рд╛рдореЗрдмрд▓ рд▓реЙрдЬрд┐рдХ (рдПрдлрдкреАрдЬреАрдП) рдЙрди рд▓реЛрдЧреЛрдВ рдХреЗ рд▓рд┐рдП рдПрдХ рд╕рдордЭреМрддрд╛ рд╣реИ рдЬреЛ рдЙрдкрдХрд░рдгреЛрдВ рдХреЗ рдкреНрд░реЛрдЯреЛрдЯрд╛рдЗрдк (рдкрд░рд┐рд╕рдВрдЪрд░рдг - рдХреБрдЫ рдЯреБрдХрдбрд╝реЗ) рдмрдирд╛рддреЗ рд╣реИрдВред рдЙрдирдореЗрдВ рдХрдИ рдорд╛рдирдХ рдмреНрд▓реЙрдХ рд╣реЛрддреЗ рд╣реИрдВ рдЬреЛ рдХреБрдЫ рдХрд╛рд░реНрдп рдХрд░рддреЗ рд╣реИрдВред рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рдХреЛ рдЗрди рдмреНрд▓реЙрдХреЛрдВ рдХреЗ рдорд╛рдкрджрдВрдбреЛрдВ рдХреЛ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рдХрд░рдиреЗ рдФрд░ рдЙрдирдХреЗ рдмреАрдЪ рдХрдиреЗрдХреНрд╢рди рд╕реНрдерд╛рдкрд┐рдд рдХрд░рдиреЗ рдХрд╛ рдЕрд╡рд╕рд░ рджрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдЖрдзреБрдирд┐рдХ FPGAs 500 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ рддрдХ рдХреА рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ рдкрд░ рд╕рдВрдЪрд╛рд▓рди рдХрд░рдиреЗ рдореЗрдВ рд╕рдХреНрд╖рдо рд╣реИрдВ рдФрд░ рдПрдХ рд╣реА рд╕рдордп рдореЗрдВ 1000 рд╕реЗ рдЕрдзрд┐рдХ рдЕрдВрддрд░реНрдирд┐рд╣рд┐рдд рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдЧреБрдгрди рдЗрдХрд╛рдЗрдпрд╛рдВ (рдкреНрд▓рд╕ рддрд░реНрдХ рддрддреНрд╡, рдЕрдВрддрд░реНрдирд┐рд╣рд┐рдд рдореЗрдореЛрд░реА, рдЙрдЪреНрдЪ рдЧрддрд┐ рд╡рд╛рд▓реЗ рдЯреНрд░рд╛рдВрд╕реАрд╡рд░, рдЖрджрд┐) рд╣реИрдВред рдЦреБрд╢реА рднреА рд╕рд╕реНрддреА рдирд╣реАрдВ рд╣реИ - рдЗрд╕ рд╡рд░реНрдЧ рдХреА рдПрдХ рдЪрд┐рдк рдХреА рдХреАрдордд 10-15 рд╣рдЬрд╛рд░ рдбреЙрд▓рд░ рд╣реЛрдЧреАред рд╕реНрд╡рд╛рднрд╛рд╡рд┐рдХ рд░реВрдк рд╕реЗ, рдЗрддрдиреЗ рдмрдбрд╝реЗ рдХреНрд░рд┐рд╕реНрдЯрд▓ рдХреА рд╣рдореЗрд╢рд╛ рдЖрд╡рд╢реНрдпрдХрддрд╛ рдирд╣реАрдВ рд╣реЛрддреА рд╣реИред рдореЗрд░реЗ рд╡реНрдпрд╡рд╣рд╛рд░ рдореЗрдВ, рдЗрд╕реНрддреЗрдорд╛рд▓ рдХрд┐рдП рдЬрд╛рдиреЗ рд╡рд╛рд▓реЗ FPGA рдХреА рд▓рд╛рдЧрдд рдЖрдорддреМрд░ рдкрд░ $ 300-2000 рд╣реИ (рдпрджрд┐ рд╣рдо рдбреАрдПрд╕рдкреА рдХрд╛рд░реНрдпреЛрдВ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдмрд╛рдд рдХрд░рддреЗ рд╣реИрдВ)ред FPGAs рдХреЗ рджреЛ рдореБрдЦреНрдп рдирд┐рд░реНрдорд╛рддрд╛, Xilinx рдФрд░ Altera, рдПрдХ рд╕рд╛рде 80% рд╕реЗ рдЕрдзрд┐рдХ рдмрд╛рдЬрд╛рд░ рдореЗрдВ рдХрдмреНрдЬреЗ рдореЗрдВ рд╣реИрдВред


рдирд┐рд░реНрдорд┐рдд рдкреНрд░рдгрд╛рд▓реА рдХреЗ рдЕрдиреНрдп рдорд╣рддреНрд╡рдкреВрд░реНрдг рддрддреНрд╡реЛрдВ рдХреЗ рдорд╛рдкрджрдВрдбреЛрдВ рдХреЛ рднреА рджрд░реНрдЬ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ - рдЖрд╡рд╢реНрдпрдХ рдПрдбреАрд╕реА рдФрд░ рдбреАрдПрд╕реА рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ, рдЖрд░рдПрдл рдкреИрд░рд╛рдореАрдЯрд░ (рд░реЗрдбрд┐рдпреЛ рдкрде - рдЙрдЪреНрдЪ рдЖрд╡реГрддреНрддрд┐ рд╡рд╛рд▓рд╛ рднрд╛рдЧ) рдФрд░ рдЕрдиреНрдпред



рдЗрд╕рдХреЗ рдмрд╛рдж рдХреЗ рдЪрд░рдг рд╕рдорд╛рдирд╛рдВрддрд░ рдореЗрдВ рд╣реЛрддреЗ рд╣реИрдВ рдХреНрдпреЛрдВрдХрд┐ рдкрд┐рдЫрд▓реЗ рд╡рд╛рд▓реЗ рддреИрдпрд╛рд░ рд╣реЛрддреЗ рд╣реИрдВред рдкреНрд░рд╛рд░рдВрдн рдореЗрдВ, рдЧрдгрд┐рддреАрдп рдПрд▓реНрдЧреЛрд░рд┐рджрдо рдХреЗ рд╡рд┐рдХрд╛рд╕ рдФрд░ рдбрд┐рд╡рд╛рдЗрд╕ рдХреЗ рд╡рд┐рджреНрдпреБрдд рд╕рд░реНрдХрд┐рдЯ рдХреЗ рд╡рд┐рдХрд╛рд╕ рдХреЛ рд▓реЙрдиреНрдЪ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред





рдЧрдгрд┐рддреАрдп рдПрд▓реНрдЧреЛрд░рд┐рджрдо рдХрд╛ рд╡рд┐рдХрд╛рд╕ рдЖрдорддреМрд░ рдкрд░ рд╡рд┐рд╖рдп рд╕рд╛рд╣рд┐рддреНрдп рдХреЗ рдЕрдзреНрдпрдпрди рд╕реЗ рд╢реБрд░реВ рд╣реЛрддрд╛ рд╣реИ рдФрд░ рдХреБрдЫ рдмреБрдирд┐рдпрд╛рджреА рдЪреАрдЬреЛрдВ рдХреЛ рдХрд╛рдЧрдЬ рдХреЗ рдЯреБрдХрдбрд╝реЗ рдкрд░ рдЦреАрдВрдЪрддрд╛ рд╣реИред рд╣рд╛рд▓рд╛рдВрдХрд┐, рд╕реИрджреНрдзрд╛рдВрддрд┐рдХ рджреГрд╖реНрдЯрд┐рдХреЛрдг, рджреБрд░реНрднрд╛рдЧреНрдп рд╕реЗ, рдмрдирд╛рдП рдЧрдП рдПрд▓реНрдЧреЛрд░рд┐рджрдо рдХреА рдорд╣рд╛рди рдЬрдЯрд┐рд▓рддрд╛ рдХреЛ рджреЗрдЦрддреЗ рд╣реБрдП рдЦрд░рд╛рдм рддрд░реАрдХреЗ рд╕реЗ рд▓рд╛рдЧреВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдЗрд╕ рд╕рдВрдмрдВрдз рдореЗрдВ, рдмрд▓реНрдХрд┐ рдЬрд▓реНрджреА рд╕реЗ, рдбрд┐рдЬрд╛рдЗрди рдкреНрд░рдХреНрд░рд┐рдпрд╛ рд╡рд┐рд╢реЗрд╖ рд╕реАрдПрдбреА рд╕рд┐рдореБрд▓реЗрд╢рди рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдХреЗ рдЙрдкрдпреЛрдЧ рдХреЗ рд▓рд┐рдП рд╕реНрд╡рд┐рдЪ рдХрд░рддреА рд╣реИред рдпрд╣ рдЖрдкрдХреЛ рдмреЗрд╕ рд▓рд╛рдЗрдмреНрд░реЗрд░реА рдмреНрд▓реЙрдХреЛрдВ рдФрд░ рдЙрдирдХреЗ рдмреАрдЪ рдХреЗ рдХрдиреЗрдХреНрд╢рди рд╕реЗ рдЧреНрд░рд╛рдлрд┐рдХрд▓ рдореЛрдб рдореЗрдВ рдЙрдиреНрд╣реЗрдВ "рдбреНрд░рд╛рдЗрдВрдЧ" рдмрдирд╛рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИред рдпреЗ рд▓рд╛рдЗрдмреНрд░реЗрд░реА рдмреНрд▓реЙрдХ рдЬрдЯрд┐рд▓рддрд╛ рд╡рд╕реНрддреБрдУрдВ рдореЗрдВ рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдЕрд▓рдЧ рд╣реЛ рд╕рдХрддреЗ рд╣реИрдВ - рдпреЛрдЬрдХ рдФрд░ рддрд░реНрдХ рдЧреЗрдЯреНрд╕ рд╕реЗ рд▓реЗрдХрд░ рд╕рдорд╛рдкреНрдд рдлрд┐рд▓реНрдЯрд░, рдореЙрдбреНрдпреВрд▓реЗрдЯрд░ рдЖрджрд┐ред



рдбрд┐рд╡рд╛рдЗрд╕ рдХреЗ рдореЙрдбрд▓ рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдПрдХ рдкрд░реАрдХреНрд╖рдг рд╡рд╛рддрд╛рд╡рд░рдг рднреА рдмрдирд╛рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ - рдШрдЯрдХ рдЬреЛ рдкрд░реАрдХреНрд╖рдг рд╕рд┐рдЧреНрдирд▓ рдЙрддреНрдкрдиреНрди рдХрд░рддреЗ рд╣реИрдВ (рдЙрд╕реА рддрд░рд╣ рд╡реЗ рд╡рд╛рд╕реНрддрд╡рд┐рдХ рдЬреАрд╡рди рдореЗрдВ рд╣реЛрдВрдЧреЗ) рдФрд░ рдШрдЯрдХ рдЬреЛ рдбрд┐рд╡рд╛рдЗрд╕ рдХреА рдЧреБрдгрд╡рддреНрддрд╛ рдХрд╛ рдЖрдХрд▓рди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЬрд┐рдореНрдореЗрджрд╛рд░ рд╣реИрдВред рдпрджрд┐ рд╣рдо рджреВрд░рд╕рдВрдЪрд╛рд░ рдХреНрд╖реЗрддреНрд░ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдмрд╛рдд рдХрд░рддреЗ рд╣реИрдВ, рддреЛ рд╡рд┐рд╢рд┐рд╖реНрдЯ рдкреНрд░рдХрд╛рд░ рдХреЗ рдЙрдкрдХрд░рдг рдХреЗ рдЖрдзрд╛рд░ рдкрд░, рд╡реЗ рдЖрдорддреМрд░ рдкрд░ рдПрд╕рдПрдирдЖрд░ (рд╕рд┐рдЧреНрдирд▓-рдЯреВ-рд╢реЛрд░ рдЕрдиреБрдкрд╛рдд) рдФрд░ рдмреАрдИрдЖрд░ (рдмрд┐рдЯ рддреНрд░реБрдЯрд┐ рджрд░ - рдЪреИрдирд▓ рдореЗрдВ рддреНрд░реБрдЯрд┐ рдШрдЯрдирд╛ рд╕рдВрднрд╛рд╡рдирд╛) рдЬреИрд╕реА рдмреБрдирд┐рдпрд╛рджреА рд╡рд┐рд╢реЗрд╖рддрд╛рдУрдВ рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рддреЗ рд╣реИрдВред

рдЧрдгрд┐рддреАрдп рдореЙрдбрд▓ рдмрдирд╛рдиреЗ рдХреА рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдкреБрдирд░рд╛рд╡реГрддреНрдд рд╣реИ - рдореЙрдбрд▓ рдХрд╛ рдкрд╣рд▓рд╛ рд╕рдВрд╕реНрдХрд░рдг рдмрдирд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдкрд░реАрдХреНрд╖рдг рдЪрд▓рд╛рдП рдЬрд╛рддреЗ рд╣реИрдВ, рдФрд░ рдкрд░рд┐рдгрд╛рдорд╕реНрд╡рд░реВрдк рд╡рд┐рд╢реЗрд╖рддрд╛рдУрдВ рдХрд╛ рдореВрд▓реНрдпрд╛рдВрдХрди рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдХреБрдЫ рдХреЛ рд╕рдорд╛рдпреЛрдЬрд┐рдд / рдкреВрд░рдХ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдкрд░реАрдХреНрд╖рдг рдлрд┐рд░ рд╕реЗ рдЪрд▓рд╛рдП рдЬрд╛рддреЗ рд╣реИрдВ рдФрд░ рддрдм рддрдХ рдЬрдм рддрдХ рдХрд┐ рдЯреАрдУрдЖрд░ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛рдУрдВ рдХреЛ рдкреВрд░рд╛ рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред

рдкрд╛рд░рдВрдкрд░рд┐рдХ рд░реВрдк рд╕реЗ рдЗрди рдХрд╛рд░реНрдпреЛрдВ рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧ рдХреА рдЬрд╛рдиреЗ рд╡рд╛рд▓реА рд╕реАрдПрдбреА рдкреНрд░рдгрд╛рд▓рд┐рдпрд╛рдБ рдорддрд▓рд╛рдм / рд╕рд┐рдореБрд▓рд┐рдВрдХ рдФрд░ рдПрд╕рдкреАрдбрдмреНрд▓реНрдпреВ рд╣реИрдВред рдЙрдирдореЗрдВ рд╕реЗ рдкрд╣рд▓реЗ рдХреЛ рдмрд╣реБрдд рд╡реНрдпрд╛рдкрдХ рд╡рд┐рддрд░рдг рдорд┐рд▓рд╛ рд╣реИ (рдХрдо рд╕реЗ рдХрдо рд╣рдорд╛рд░реЗ рджреЗрд╢ рдореЗрдВ)ред



рдЧрдгрд┐рддреАрдп рдореЙрдбрд▓ рдмрдирд╛рдиреЗ рдХреЗ рдмрд╛рдж, FPGA рдкрд░ рдПрд▓реНрдЧреЛрд░рд┐рджрдо рдХреЛ рд▓рд╛рдЧреВ рдХрд░рдирд╛ рд╕рдВрднрд╡ рд╣реЛ рдЬрд╛рддрд╛ рд╣реИред рдЧреНрд░рд╛рд╣рдХ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛рдУрдВ рдХреЗ рдЖрдзрд╛рд░ рдкрд░, рд╡рд┐рднрд┐рдиреНрди рддрд░реАрдХреЛрдВ рдХреЛ рд▓рд╛рдЧреВ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдореБрдЦреНрдп рдкреНрд░рд╡реГрддреНрддрд┐ рдореМрдЬреВрджрд╛ рдЪрдЯрд╛рдИ рдХреЗ рдЖрдзрд╛рд░ рдкрд░ рдордВрдЪ рдХреА рдЕрдзрд┐рдХрддрдо рд╕реНрд╡рдЪрд╛рд▓рди рд╣реИ (рдЬреИрд╕рд╛ рдХрд┐, рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ, рдХрдИ рдЕрдиреНрдп рд╕реНрдерд╛рдиреЛрдВ рдореЗрдВ)ред рдореЙрдбрд▓ред рдбрд┐рдЬрд╝рд╛рдЗрди рдЙрдкрдХрд░рдг рдЗрди рд╕реБрд╡рд┐рдзрд╛рдУрдВ рдХреЛ рд╕рдХреНрд░рд┐рдп рд░реВрдк рд╕реЗ рд╡рд┐рдХрд╕рд┐рдд рдХрд░ рд░рд╣реЗ рд╣реИрдВред рдЗрд╕ рдШрдЯрдирд╛ рдореЗрдВ рдХрд┐ рдЪрдЯрд╛рдИред рдореЙрдбрд▓ рдХреЛ рдХрдИ рд╡рд┐рд╢реЗрд╖ рдирд┐рдпрдореЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдмрдирд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ; рдЗрд╕реЗ FPGA рдкрд░рд┐рдпреЛрдЬрдирд╛ рдореЗрдВ рдЙрдкрдпреЛрдЧ рдХреЗ рд▓рд┐рдП рдЙрдкрдпреБрдХреНрдд рд░реВрдк рдореЗрдВ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рд░реВрдк рд╕реЗ рдЕрдиреБрдХреВрд▓рд┐рдд рдХрд░рдирд╛ рд╕рдВрднрд╡ рд╣реИред рдбреЗрд╡рд▓рдкрд░ рдХреЗ рджреГрд╖реНрдЯрд┐рдХреЛрдг рд╕реЗ, рд╕рдм рдХреБрдЫ рджрд┐рдЦрддрд╛ рд╣реИ (рдПрдХ рдЖрджрд░реНрд╢ рд╕реНрдерд┐рддрд┐ рдореЗрдВ) рдмрд╣реБрдд рд╕рд░рд▓ - рдореИрдВрдиреЗ рдПрдХ рдмрдЯрди рдХреНрд▓рд┐рдХ рдХрд┐рдпрд╛ рдФрд░ рдПрдХ VHDL / рд╡реЗрд░рд┐рд▓реЙрдЧ рдХреЛрдб рдорд┐рд▓рд╛ рдЬреЛ рдореВрд▓ рдореЙрдбрд▓ рд╕реЗ рдореЗрд▓ рдЦрд╛рддрд╛ рд╣реИред рджреБрд░реНрднрд╛рдЧреНрдп рд╕реЗ, 2 рд╕рдорд╕реНрдпрд╛рдПрдВ рд╣реИрдВ рдЬреЛ рдЗрд╕ рджреГрд╖реНрдЯрд┐рдХреЛрдг рдХреЛ рдХрдард┐рди рдмрдирд╛рддреА рд╣реИрдВ:
  1. рдЪрдЯрд╛рдИред рдПрдХ рдореЙрдбрд▓ рдЖрдорддреМрд░ рдкрд░ рдлрд╝реНрд▓реЛрдЯрд┐рдВрдЧ-рдкреЙрдЗрдВрдЯ рдирдВрдмрд░реЛрдВ рдХреЗ рд▓рд┐рдП рдмрдирд╛рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЬрдмрдХрд┐ FPGA рдХреЗ рдЕрдВрджрд░ рдЧрдгрдирд╛ рдкрд╛рд░рдВрдкрд░рд┐рдХ рд░реВрдк рд╕реЗ рдПрдХ рдирд┐рд╢реНрдЪрд┐рдд-рдмрд┐рдВрджреБ рдкреНрд░рд╛рд░реВрдк рдореЗрдВ рдХреА рдЬрд╛рддреА рд╣реИ (рдпрд╣ рдмрд╣реБрдд рддреЗрдЬрд╝ рдФрд░ рдХрдо рд╕рдВрд╕рд╛рдзрди рдЧрд╣рди рд╣реИ)ред рдЗрд╕ рд╕рдВрдмрдВрдз рдореЗрдВ, рдПрдХ рдирд┐рд╢реНрдЪрд┐рдд-рдмрд┐рдВрджреБ рдкреНрд░рд╛рд░реВрдк рдореЗрдВ рдмрдирд╛рдпрд╛ рдЧрдпрд╛ рдордзреНрдпрд╡рд░реНрддреА рдореЙрдбрд▓ рдмрдирд╛рдирд╛ рдЖрд╡рд╢реНрдпрдХ рд╣реИред рдпрд╣ рдЪрд░рдг рдореИрдиреНрдпреБрдЕрд▓ рд░реВрдк рд╕реЗ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рд╣рд╛рд▓рд╛рдВрдХрд┐ рдЕрдм рдЗрд╕ рдЪрд░рдг рдХреЛ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЙрдкрдХрд░рдгреЛрдВ рдХрд╛ рдПрдХ рд╕рдХреНрд░рд┐рдп рд╡рд┐рдХрд╛рд╕ рд╣реИред
  2. рдЪрдЯрд╛рдИред рдореЙрдбрд▓ рдХреЛ рдЕрддрд┐рд░рд┐рдХреНрдд рджреЗрд░реА рдХреЗ рдмрд┐рдирд╛ рдмрдирд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ рдЬреЛ рдЖрд╡рд╢реНрдпрдХ рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ (рддрдерд╛рдХрдерд┐рдд рдкрд╛рдЗрдкрд▓рд╛рдЗрдирд┐рдВрдЧ) рдкрд░ рдбрд┐рд╡рд╛рдЗрд╕ рдХреЗ рд╕рдлрд▓ рд╕рдВрдЪрд╛рд▓рди рдХреЗ рд▓рд┐рдП рдЙрдкрдХрд░рдг рдореЗрдВ рдЖрд╡рд╢реНрдпрдХ рд╣реИрдВред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛ рдПрд▓реНрдЧреЛрд░рд┐рджрдо рдореЗрдВ рдЗрд╕ рддрд░рд╣ рдХреА рджреЗрд░реА рдХреА рд╢реБрд░реВрдЖрдд рдкреНрд░рдгрд╛рд▓реА рдХреА рд╕реНрдерд┐рд░рддрд╛ рдХреЛ рдкреНрд░рднрд╛рд╡рд┐рдд рдХрд░рддреА рд╣реИ рдФрд░ рд╕рд╛рд╡рдзрд╛рдиреАрдкреВрд░реНрд╡рдХ рд░реАрдореЙрдбреЗрд▓рд┐рдВрдЧ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИред


рдПрдХ рддрд░рд╣ рд╕реЗ рдпрд╛ рджреВрд╕рд░реЗ, рдЕрдВрддрддрдГ рдЪреЗрдХрдореЗрдЯред рдореЙрдбрд▓ рдХреЛ рдХреЛрдб рдХреЗ рд░реВрдк рдореЗрдВ рдкреНрд░рд╕реНрддреБрдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ рдЬрд┐рд╕реЗ рдореБрдЦреНрдп FPGA рдкрд░рд┐рдпреЛрдЬрдирд╛ рдореЗрдВ рдПрдХреАрдХреГрдд рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред



рдЗрд▓реЗрдХреНрдЯреНрд░рд┐рдХрд▓ рд╕рд░реНрдХрд┐рдЯ рдЖрд░реЗрдЦ рд╡рд┐рдХрд╕рд┐рдд рдХрд░рддреЗ рд╕рдордп , рдкрд╣рд▓реА рдкрд╕рдВрдж рдЗрд▓реЗрдХреНрдЯреНрд░реЙрдирд┐рдХ рдШрдЯрдХ рд╣реЛрддреЗ рд╣реИрдВ рдЬреЛ рдЙрдирдХреА рд╡рд┐рд╢реЗрд╖рддрд╛рдУрдВ, рд╕рд╛рде рд╣реА рдЙрдкрд▓рдмреНрдзрддрд╛ (рдЦрд░реАрдж рдХреЗ рд▓рд┐рдП) рдХреЗ рдЖрдзрд╛рд░ рдкрд░ рд╣реЛрддреЗ рд╣реИрдВред рд░реВрд╕ рдореЗрдВ, рдШрдЯрдХреЛрдВ рдХреЗ рд▓рд┐рдП рдбрд┐рд▓реАрд╡рд░реА рдХрд╛ рд╕рдордп (рд╡рд┐рддрд░рдХреЛрдВ рдХреЗ рд░реВрд╕реА рдЧреЛрджрд╛рдореЛрдВ рдореЗрдВ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рдЫреЛрдбрд╝рдХрд░) рдмрд╣реБрдд рдЕрдзрд┐рдХ рд╣реИ рдФрд░ рдЧреНрд░рд╛рд╣рдХ рдШрдЯрдХреЛрдВ рдХреЛ рдЦрд░реАрджрдиреЗ рдореЗрдВ рдорджрдж рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ (рдмрд╢рд░реНрддреЗ рдХрд┐ рд╡реЗ рдпреВрд░реЛрдк / рдпреВрдПрд╕рдП / рджрдХреНрд╖рд┐рдг рдкреВрд░реНрд╡ рдПрд╢рд┐рдпрд╛ рдореЗрдВ рд╣реИрдВ, рдФрд░ рдЕрдлреНрд░реАрдХрд╛ рдореЗрдВ рдХрд╣реАрдВ рдирд╣реАрдВ рд╣реИрдВ)ред рд▓реЗрдХрд┐рди рдЗрд╕ рдорд╛рдорд▓реЗ рдореЗрдВ рднреА, рдРрд╕реА рд╕реНрдерд┐рддрд┐рдпрд╛рдВ рд╣реИрдВ рдХрд┐ рдЖрд╡рд╢реНрдпрдХ рдШрдЯрдХреЛрдВ рдХреЗ рд▓рд┐рдП рдкреНрд░рд╕рд╡ рдХрд╛ рд╕рдордп 20-30 рд╕рдкреНрддрд╛рд╣ рд╣реЛ рд╕рдХрддрд╛ рд╣реИ рдФрд░ рдлрд┐рд░ рдЖрдкрдХреЛ рдкреНрд░рддрд┐рд╕реНрдерд╛рдкрди рдХреЗ рд▓рд┐рдП рджреЗрдЦрдирд╛ рд╣реЛрдЧрд╛ (рдФрд░, рдХрднреА-рдХрднреА, рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рддрд▓рд╛рдХрд╢реБрджрд╛ рд╢реБрд▓реНрдХ рдореЗрдВ рдкрд░рд┐рд╡рд░реНрддрди рдХрд░реЗрдВ)ред

рд╡рд┐рджреНрдпреБрдд рд╕рд░реНрдХрд┐рдЯ рдХреЗ рдирд┐рд░реНрдорд╛рдг рдХреЗ рдкреВрд░рд╛ рд╣реЛрдиреЗ рдкрд░, рдЕрдЧрд▓рд╛ рдЪрд░рдг, рдЗрд╕рдХреЗ рд╕рд╛рде рдирд┐рдХрдЯрддрд╛ рд╕реЗ рдЬреБрдбрд╝рд╛ рд╣реБрдЖ рд╣реИ, рд╢реБрд░реВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ: рдореБрджреНрд░рд┐рдд рд╕рд░реНрдХрд┐рдЯ рдмреЛрд░реНрдб рдХрд╛ рдЕрдиреБрд░реЗрдЦрдг ред рдбрд┐рд╡рд╛рдЗрд╕ рдХреЗ рд╕рднреА рдЪрдпрдирд┐рдд рдШрдЯрдХреЛрдВ рдХреЛ рдПрдХ рдореБрджреНрд░рд┐рдд рд╕рд░реНрдХрд┐рдЯ рдмреЛрд░реНрдб (рдкреАрд╕реАрдмреА) рдкрд░ рд░рдЦрд╛ рдЬрд╛рддрд╛ рд╣реИ рдФрд░ рдЖрд░реЗрдЦ рдХреЗ рдЕрдиреБрд╕рд╛рд░ рдЙрдирдХреЗ рдмреАрдЪ рдХрдиреЗрдХреНрд╢рди рдмрдирд╛рдП рдЬрд╛рддреЗ рд╣реИрдВред рдЬрдЯрд┐рд▓рддрд╛ (рдмреЛрд░реНрдб рдЖрдпрд╛рдо, рдШрдЯрдХреЛрдВ рдХреА рд╕реНрдерд╛рдкрдирд╛ рдШрдирддреНрд╡ рдФрд░ рдЙрдирдХреА рд╕рдВрдЦреНрдпрд╛) рдХреЗ рдЖрдзрд╛рд░ рдкрд░, рдПрдХ рдореБрджреНрд░рд┐рдд рд╕рд░реНрдХрд┐рдЯ рдмреЛрд░реНрдб рдХреА рдкрд░рддреЛрдВ рдХреА рд╕рдВрдЦреНрдпрд╛ рдЖрдорддреМрд░ рдкрд░ 4-12 рд╣реЛрддреА рд╣реИред рд╕реНрд╡рд╛рднрд╛рд╡рд┐рдХ рд░реВрдк рд╕реЗ, рд╡реЗ рдЕрдкрдиреА рд╕рдВрдЦреНрдпрд╛ рдХреЛ рдХрдо рдХрд░рдиреЗ рдХреА рдХреЛрд╢рд┐рд╢ рдХрд░рддреЗ рд╣реИрдВ, рд▓реЗрдХрд┐рди рдЕрдВрддрддрдГ рдпрд╣ рд╕рдм рдЯреНрд░реЗрд╕рд┐рдВрдЧ (рдмреНрд░реАрдбрд░) рдореЗрдВ рд╢рд╛рдорд┐рд▓ рд╡реНрдпрдХреНрддрд┐ рдХреА рдЬрд░реВрд░рддреЛрдВ рдФрд░ рдЙрд╕рдХреЗ рдЕрдиреБрднрд╡ рдкрд░ рдирд┐рд░реНрднрд░ рдХрд░рддрд╛ рд╣реИред рдЯреНрд░реЗрд╕рд┐рдВрдЧ рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдкреАрд╕реА рдХреЗ рд╕рд╛рде рд╕рдВрдХреЗрддреЛрдВ рдХреА рдЕрдЦрдВрдбрддрд╛ рдФрд░ рдкреНрд░рд╕рд╛рд░ рд╕рдордп рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдХрдИ рдЖрд╡рд╢реНрдпрдХрддрд╛рдУрдВ рдХреЛ рдзреНрдпрд╛рди рдореЗрдВ рд░рдЦрддреА рд╣реИред



Mentor Graphics, Cadence OrCAD (Allegro), рдФрд░ Altium Designer рд╕реЗ рдбрд┐рдЬрд╛рдЗрди рдЙрдкрдХрд░рдг рдЬреИрд╕реЗ рдкреИрдб (рдЕрднрд┐рдпрд╛рди) рд╕рд░реНрдХрд┐рдЯ рдбрд┐рдЬрд╛рдЗрди рдФрд░ рдЯреНрд░реЗрд╕рд┐рдВрдЧ рдХреЗ рд▓рд┐рдП рд╕рдмрд╕реЗ рдЕрдзрд┐рдХ рдЙрдкрдпреЛрдЧ рдХрд┐рдП рдЬрд╛рддреЗ рд╣реИрдВред рдкреА-рд╕реАрдПрдбреА (рдФрд░ рдирд╡реАрдирддрдо рд╕рдВрд╕реНрдХрд░рдг рдирд╣реАрдВ) рдЕрднреА рднреА рд░реВрд╕реА рдкреНрд░рд╛рдВрддреЛрдВ рдореЗрдВ рд╕рдХреНрд░рд┐рдп рд░реВрдк рд╕реЗ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдпрджрд┐ рдЖрдк рдЗрд╕ рддрд░рд╣ рд╕реЗ рдмрдирд╛рдИ рдЧрдИ рдпреЛрдЬрдирд╛ рдХреЛ рдкрд╢реНрдЪрд┐рдореА рдЧреНрд░рд╛рд╣рдХ рдХреЛ рджреЗрддреЗ рд╣реИрдВ, рддреЛ рдЖрдкрдХреЛ рд╕рдордЭрд╛ рдирд╣реАрдВ рдЬрд╛рдПрдЧрд╛ (рдирдП рдкреА-рд╕реАрдПрдбреА рд╕рдВрд╕реНрдХрд░рдгреЛрдВ рдХреА рд░рд┐рд╣рд╛рдИ 5 рд╕рд╛рд▓ рдкрд╣рд▓реЗ рдкреВрд░реА рд╣реЛ рдЧрдИ рдереА, 2008 рдореЗрдВ рд╕рдорд░реНрдерди рдмрдВрдж рдХрд░ рджрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛)ред

рдкреАрдкреА рдЯреНрд░реЗрд╕ рдХреЗ рдЕрдВрддрд┐рдо (рд╣реЗрд╣реЗ) рд╕рдВрд╕реНрдХрд░рдг рдХреЛ рдмрдирд╛рдиреЗ рдХреЗ рдмрд╛рдж, рдЗрд╕реЗ рдЙрддреНрдкрд╛рджрди рдореЗрдВ рдбрд╛рд▓ рджрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдмрд╛рдж рдХреЗ рд╕рднреА рд╕рдВрд╢реЛрдзрдиреЛрдВ рдХреЛ рд╕реНрдХреЗрд▓рдкреЗрд▓, рд╕реЛрд▓реНрдбрд░рд┐рдВрдЧ рд╕реНрдЯреЗрд╢рди рдФрд░ рдЕрд╕реЗрдВрдмрд▓реА рд╡рд╛рдпрд░ рдХреЗ рд╕рд╛рде рдмрдирд╛рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдмреЛрд░реНрдб рдЦреБрдж рджрдХреНрд╖рд┐рдг-рдкреВрд░реНрд╡реА рдПрд╢рд┐рдпрд╛рдИ рдХреНрд╖реЗрддреНрд░ (рдЖрдорддреМрд░ рдкрд░ рд░реВрд╕реА рдмрд┐рдЪреМрд▓рд┐рдпреЛрдВ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ) рдореЗрдВ рд╕рдмрд╕реЗ рдЕрдзрд┐рдХ рдмрд╛рд░ рдЙрддреНрдкрд╛рджрд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдШрдЯрдХреЛрдВ рдХреЛ рд░реВрд╕ рдореЗрдВ рдорд┐рд▓рд╛рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ (рдЬрд┐рддрдирд╛ рд╕рдВрднрд╡ рд╣реЛ рдЙрддрдирд╛ рдХрд░реАрдм рд╣реЛ рддрд╛рдХрд┐ рд╕реЛрд▓реНрдбрд░рд┐рдВрдЧ рдХреЗ рд╕рд╛рде рдкрддрд╛ рдЪрд▓рд╛ рд╕рдорд╕реНрдпрд╛рдУрдВ рдХреЛ рдареАрдХ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рджреВрд░ рдирд╣реАрдВ рд╣реЛ)ред рдмрдирд╛рдП рдЬрд╛ рд░рд╣реЗ рдмреЛрд░реНрдбреЛрдВ рдХреЗ рдЫреЛрдЯреЗ рд╕рдВрдЪрд▓рди рдФрд░ рдЙрдЪреНрдЪ рдЬрдЯрд┐рд▓рддрд╛ рдХреЗ рдорджреНрджреЗрдирдЬрд░ (рд╣рдо рдкреНрд░рд╕реНрддрд╛рд╡рдирд╛ рдореЗрдВ рд╕рд╣рдордд рд╣реИрдВ), рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдХреЛ рдЖрджрд░реНрд╢ рд░реВрдк рд╕реЗ рд╕реНрдерд╛рдкрд┐рдд рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ рдФрд░ рдЗрд╕рд▓рд┐рдП рдкреНрд░рддреНрдпреЗрдХ рдмреЛрд░реНрдб рдХреЛ рдХрдореАрд╢рди рдХрд░рддреЗ рд╕рдордп рдЖрд╡рд╢реНрдпрдХ рд░реВрдк рд╕реЗ рдореИрдиреНрдпреБрдЕрд▓ рд░реВрдк рд╕реЗ рдбрд┐рдмрдЧ / рдорд░рдореНрдордд рдХреА рдЬрд╛рддреА рд╣реИред



рдбрд┐рд╡рд╛рдЗрд╕ рдЖрд░реЗрдЦ рддреИрдпрд╛рд░ рд╣реЛрдиреЗ рдХреЗ рдмрд╛рдж (рдЕрд░реНрдерд╛рдд, рдЙрди рдШрдЯрдХреЛрдВ рдХреА рд╕реВрдЪреА, рдЬрд┐рдирдХреЗ рд╕рд╛рде FPGA рд╕рдВрдЪрд╛рд░ рдХрд░реЗрдЧрд╛) рдЬреНрдЮрд╛рдд рд╣реИ, рдЖрдк FPGA рд╕реЗрд╡рд╛ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдлрд╝рдВрдХреНрд╢рди рд╡рд┐рдХрд╕рд┐рдд рдХрд░рдирд╛ рд╢реБрд░реВ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред FPGA рдХреЗ рд▓рд┐рдП рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХрд╛ рд╡рд┐рдХрд╛рд╕ рд╡рд┐рд╢реЗрд╖ рдбрд┐рдЬрд╛рдЗрди рднрд╛рд╖рд╛рдУрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рд╡рд░реНрддрдорд╛рди рдореЗрдВ рд╡рд╛рд╕реНрддрд╡рд┐рдХ рдорд╛рдирдХ VHDL рдФрд░ рд╡реЗрд░рд┐рд▓реЙрдЧ рд╣реИрдВред рд╕рд┐рдВрдереЗрдЯрд┐рдХ, рдЙрдирдореЗрдВ рд╕реЗ рдкрд╣рд▓рд╛ рдЕрдбрд╛ (рдкрд╛рд╕реНрдХрд▓) рдХреЗ рд╕рдорд╛рди рд╣реИ, рджреВрд╕рд░реЗ рд╕реЗ рд╕реАред рд╣рд╛рд▓рд╛рдВрдХрд┐, рдЗрди рднрд╛рд╖рд╛рдУрдВ рдореЗрдВ рдПрдХ рдмреБрдирд┐рдпрд╛рджреА рдЕрдВрддрд░ рд╣реИ - рд╡реЗ рдЕрдиреБрдХреНрд░рдорд┐рдХ рдХрд╛рд░реНрдпрдХреНрд░рдореЛрдВ рдХрд╛ рд╡рд░реНрдгрди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЕрднрд┐рдкреНрд░реЗрдд рдирд╣реАрдВ рд╣реИрдВ (рд╣рд╛рд▓рд╛рдВрдХрд┐ рдЙрдирдХрд╛ рдЙрдкрдпреЛрдЧ рдЗрди рдЙрджреНрджреЗрд╢реНрдпреЛрдВ рдХреЗ рд▓рд┐рдП рднреА рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ), рд▓реЗрдХрд┐рди рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХрд╛ рд╡рд░реНрдгрди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рдЕрд░реНрдерд╛рддреНред рд╕рдорд╛рдирд╛рдВрддрд░ рд╕рдВрд░рдЪрдирд╛рдПрдВред рдЙрдкрдХрд░рдг рдХрд╛ рд╡рд░реНрдгрди рдХрд░рдиреЗ рдХрд╛ рд╕рдмрд╕реЗ рдЖрдо рддрд░реАрдХрд╛ рдЖрд░рдЯреАрдПрд▓ (рд░рдЬрд┐рд╕реНрдЯрд░ рдЯреНрд░рд╛рдВрд╕рдлрд░ рд╕реНрддрд░) рдХрд╣рд╛ рдЬрд╛рддрд╛ рд╣реИред рдЗрд╕ рдорд╛рдорд▓реЗ рдореЗрдВ, рдореЗрдореЛрд░реА рдСрдмреНрдЬреЗрдХреНрдЯреНрд╕ (рдЯреНрд░рд┐рдЧрд░, рд░рдЬрд┐рд╕реНрдЯрд░, рдореЗрдореЛрд░реА рдмреНрд▓реЙрдХ) рдФрд░ рдЙрдирдХреЗ (рд▓реЙрдЬрд┐рдХ, рдХреЙрдореНрдмрд┐рдиреЗрд╢рди рд╕рд░реНрдХрд┐рдЯ) рдХреЗ рдмреАрдЪ рдбреЗрдЯрд╛ рдЯреНрд░рд╛рдВрд╕рдлрд░ (рдФрд░ рдЯреНрд░рд╛рдВрд╕рдлрд╝реЙрд░реНрдорд┐рдВрдЧ) рдХреЗ рдирд┐рдпрдореЛрдВ рдХрд╛ рд╡рд░реНрдгрди рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред рдирд┐рд░рд╛рдзрд╛рд░ рди рд╣реЛрдиреЗ рдХреЗ рд▓рд┐рдП, VHDL рдкрд░ рд╕рдмрд╕реЗ рд╕рд░рд▓ рдХрд╛рдЙрдВрдЯрд░ рдХрд╛ рд╡рд░реНрдгрди рдХреБрдЫ рдЗрд╕ рддрд░рд╣ рджрд┐рдЦрддрд╛ рд╣реИ:

process(clk, rst)

begin

if rst = '1' then cnt <= 0;

elsif rising_edge(clk) then

cnt <= cnt + 1;

end if;

end process;





рд╕реНрд╡рд╛рднрд╛рд╡рд┐рдХ рд░реВрдк рд╕реЗ, рдЖрдк рдФрд░ рддреГрддреАрдп-рдкрдХреНрд╖ рдбреЗрд╡рд▓рдкрд░реНрд╕ рджреЛрдиреЛрдВ рджреНрд╡рд╛рд░рд╛ рд╡рд┐рдХрд╕рд┐рдд рдШрдЯрдХреЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдкрджрд╛рдиреБрдХреНрд░рдорд┐рдд рд╡рд┐рд╡рд░рдг рдмрдирд╛рдирд╛ рд╕рдВрднрд╡ рд╣реИред FPGA рдХреЗ рд▓рд┐рдП рдПрдХ рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХрд╛ рд╡рд░реНрдгрди рдХрд░рдиреЗ рдХрд╛ рдПрдХ рдФрд░ рддрд░реАрдХрд╛ рдЧреНрд░рд╛рдлрд┐рдХ рдЗрдирдкреБрдЯ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рд╣реИред рдЗрд╕ рдорд╛рдорд▓реЗ рдореЗрдВ, рдПрдХ рд╡рд┐рд╢реЗрд╖ рд╕рдВрдкрд╛рджрдХ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реБрдП, рдЖрдк рдЕрдкрдиреЗ рдбрд┐рд╡рд╛рдЗрд╕ рдХреЛ рд╡рд┐рднрд┐рдиреНрди рдШрдЯрдХреЛрдВ рд╕реЗ рдмрдирд╛рддреЗ рд╣реИрдВ рдФрд░ рдЙрдиреНрд╣реЗрдВ рдПрдХ рд╕рд╛рде рдЬреЛрдбрд╝рддреЗ рд╣реИрдВред рдЗрд╕ рд╡рд┐рд╖рдп рдкрд░ рдЕрдВрддрд╣реАрди рдзрд╛рд░реНрдорд┐рдХ рдпреБрджреНрдзреЛрдВ рдХрд╛ рдордВрдЪрди рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЬрд┐рд╕рдХрд╛ рдПрдХ рд╕рдВрдХреНрд╖рд┐рдкреНрдд рд╕рд╛рд░рд╛рдВрд╢ - рдЖрд░реЗрдЦреАрдп рддрд░реАрдХреЗ рд╕реЗ рдПрд▓реНрдЧреЛрд░рд┐рдердо рднрд╛рдЧ рдХрд╛ рд╡рд░реНрдгрди - рдмреБрд░рд╛рдИ рд╣реИ, рдЪреВрдВрдХрд┐ рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХрд╛ рдкрд╛рд▓рди рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ (рдХреЗрд╡рд▓ рд▓реЗрдЦрдХ рдЗрд╕реЗ рд╕рдордЭ рд╕рдХрддрд╛ рд╣реИ рдФрд░ рдлрд┐рд░ рд▓рдВрдмреЗ рд╕рдордп рддрдХ рдирд╣реАрдВ); рдЪрд┐рддреНрд░рдордп рддрд░реАрдХреЗ рд╕реЗ рдкрджрд╛рдиреБрдХреНрд░рдо рдХреЗ рдКрдкрд░реА рд╕реНрддрд░реЛрдВ рдХрд╛ рд╡рд░реНрдгрди рд╕реБрд╡рд┐рдзрд╛рдЬрдирдХ рд╣реЛ рд╕рдХрддрд╛ рд╣реИ (рдпрд╣ рдПрдХ рд╡реНрдпрдХреНрддрд┐ рдХреЛ рд╕рдордЧреНрд░ рд░реВрдк рд╕реЗ рд╕рд┐рд╕реНрдЯрдо рдХреА рд╕рдВрд░рдЪрдирд╛ рдХрд╛ рдореВрд▓реНрдпрд╛рдВрдХрди рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИ), рд▓реЗрдХрд┐рди рдкрд╛рда рдлрд╝рд╛рдЗрд▓реЛрдВ рдХреА рддреБрд▓рдирд╛ рдХреЗ рд▓рд┐рдП рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рдЙрдкрдХрд░рдгреЛрдВ рдХреЗ рд╕рд╛рде рдРрд╕реА рдлрд╝рд╛рдЗрд▓реЛрдВ рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдирд╛ рдореБрд╢реНрдХрд┐рд▓ рд╣реЛ рдЬрд╛рддрд╛ рд╣реИ (рдкрд░рд┐рд╡рд░реНрддрдиреЛрдВ рдХреА рдЦреЛрдЬ)ред рдмрдирд╛рдП рдЧрдП рдШрдЯрдХреЛрдВ рдХреЛ рдбреАрдмрдЧ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рдкрд░реАрдХреНрд╖рдг рдЕрдХреНрд╕рд░ рдПрдХ рд╣реА VHDL / рд╡реЗрд░рд┐рд▓реЙрдЧ рдкрд░ рд▓рд┐рдЦреЗ рдЬрд╛рддреЗ рд╣реИрдВ, рдЬреЛ рдЖрдкрдХреЛ рдпрд╣ рд╕реБрдирд┐рд╢реНрдЪрд┐рдд рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИ рдХрд┐ рдЗрдХрд╛рдИ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рдпрд╛ рдореИрдиреБрдЕрд▓ рдореЗрдВ рд╕рд╣реА рдврдВрдЧ рд╕реЗ рдХрд╛рдо рдХрд░рддреА рд╣реИ (рдореИрдВ рд╕рдордп рдЖрд░реЗрдЦреЛрдВ рдХрд╛ рд╡рд┐рд╢реНрд▓реЗрд╖рдг рдХрд░рддрд╛ рд╣реВрдВ) рдореЛрдбред







рдЗрд╕рдХреЗ рдмрд╛рдж, DSP рдПрд▓реНрдЧреЛрд░рд┐рджрдо рдХреЛ рдПрдХ рд╣реА рдореЙрдбрд▓ рдореЗрдВ рдПрдХреАрдХреГрдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ рдФрд░ рдкреАрд╕реАрдмреА рдЯреНрд░реЗрд╕ рдЪрд░рдг ( FPGA рдкрд░рд┐рдпреЛрдЬрдирд╛ рдПрдХреАрдХрд░рдг ) рдХреЗ рдкреВрд░рд╛ рд╣реЛрдиреЗ рдкрд░ рдирд╡реАрдирддрдо рдкрд░рд┐рд╡рд░реНрддрди рдХрд┐рдП рдЬрд╛рддреЗ рд╣реИрдВред FPGA рдкрд░ рдЖрдзрд╛рд░рд┐рдд рдПрдХ рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХреЗ рдирд┐рд░реНрдорд╛рдг рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдКрдкрд░ рдФрд░ рдмрдбрд╝реЗ рдкреИрдорд╛рдиреЗ рдкрд░, рд╕рдм рдХреБрдЫ рдЙрдЪрд┐рдд рдерд╛ рдФрд░ ASIC рдХреЛ рдбрд┐рдЬрд╛рдЗрди рдХрд░рддреЗ рд╕рдордп, рдХреЗрд╡рд▓ рдЬрд┐рдореНрдореЗрджрд╛рд░реА рдХрд╛ рд╕реНрддрд░ рдмрд╣реБрдд рдЕрдзрд┐рдХ рд╣реИ, рдХреНрдпреЛрдВрдХрд┐ рддреНрд░реБрдЯрд┐ рдХреЛ рдареАрдХ рдХрд░рдиреЗ рдХрд╛ рдХреЛрдИ рдореМрдХрд╛ рдирд╣реАрдВ рд╣реИред рдкреНрд░реЛрдЬреЗрдХреНрдЯ рддреИрдпрд╛рд░ рд╣реЛрдиреЗ рдХреЗ рдмрд╛рдж, рдЗрд╕реЗ рд╕рдВрдХрд▓рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ (рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рд░реВрдк рд╕реЗ рдЙрдкрдпреЛрдЧ рдХрд┐рдП рдЬрд╛рдиреЗ рд╡рд╛рд▓реЗ FPGA рдХреЗ рдкреНрд░рдХрд╛рд░ рдХреЗ рдЖрдзрд╛рд░ рдкрд░ рд╡рд┐рднрд┐рдиреНрди CAD рд╕рд┐рд╕реНрдЯрдо рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ) рдФрд░ рдкреНрд░реЛрдЬреЗрдХреНрдЯ рдПрдХ рдЪрд┐рдк рдореЗрдВ рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рдХреЗ рд▓рд┐рдП рддреИрдпрд╛рд░ рд╣реИред рдпрджрд┐ рдЙрддреНрдкрд╛рджрди рдпреЛрдЬрдирд╛ рдХреЛ рд╕рдХрд▓ рдорд┐рд╕рдХрд▓реНрдЪреБрд▓реЗрд╢рди рдХреЗ рдмрд┐рдирд╛ рддреИрдпрд╛рд░ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рдЗрд╕ рд╕рдордп рддрдХ рд▓рдЧрднрдЧ рдмреЛрд░реНрдб рдЙрддреНрдкрд╛рджрди рдФрд░ рдкрд░реАрдХреНрд╖рдг рд╕реЗ рд╢реБрд░реВ рд╣реЛрддрд╛ рд╣реИ, рдФрд░ рдмрд╛рдж рдореЗрдВ рд╕рдВрдЪрд╛рд▓рди (рдирд┐рд░реНрдорд┐рдд рдПрд▓реНрдЧреЛрд░рд┐рджрдо рдХреЗ рдХрд╛рд░реНрдп рдХрд╛ рдкреНрд░рджрд░реНрд╢рди) рдкрд░ред рд╕реНрд╡рд╛рднрд╛рд╡рд┐рдХ рд░реВрдк рд╕реЗ, рдЗрд╕ рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдореЗрдВ, рдЗрди рдПрд▓реНрдЧреЛрд░рд┐рджрдо рдХреЛ рдмрджрд▓рд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ рдФрд░ рдкреВрд░рдХ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ, рдФрд░ FPGA рдХреА "reprogrammability" рдЖрдкрдХреЛ рдЬрд▓реНрджреА рд╕реЗ рдЙрдиреНрд╣реЗрдВ рдкрд░рд┐рдпреЛрдЬрдирд╛ рдореЗрдВ рд▓рд╛рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддреА рд╣реИред



рдПрдХ рдЕрдиреНрдп рдорд╣рддреНрд╡рдкреВрд░реНрдг рдбрд┐рдЬрд╛рдЗрди рдЪрд░рдг рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХреЗ рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рднрд╛рдЧ рдХрд╛ рд╡рд┐рдХрд╛рд╕ рд╣реИ ред рдмрдирд╛рдП рдЬрд╛ рд░рд╣реЗ рд╕реЙрдлрд╝реНрдЯрд╡реЗрдпрд░ рдХреЛ рджреЛ рд╢реНрд░реЗрдгрд┐рдпреЛрдВ рдореЗрдВ рд╡рд┐рднрд╛рдЬрд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ: рдкреАрд╕реА рдкрд░ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдХрд╛ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди (рдпрджрд┐ рдбрд┐рд╡рд╛рдЗрд╕ рдпрд╛ рдбреЗрдЯрд╛ рдПрдХреНрд╕рдЪреЗрдВрдЬ рдХрд╛ рдореИрдиреБрдЕрд▓ рдирд┐рдпрдВрддреНрд░рдг рдЖрд╡рд╢реНрдпрдХ рд╣реИ) рдФрд░ рдлрд░реНрдорд╡реЗрдпрд░ рдХрд╛ рдирд┐рд░реНрдорд╛рдг (рдпрджрд┐ рдпрд╣ рддрд░реНрдХ рдкрд░ рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдЕрд╕реБрд╡рд┐рдзрд╛рдЬрдирдХ рдбрд┐рд╡рд╛рдЗрд╕ рдкрд░ рд╕реАрдзреЗ рдЬрдЯрд┐рд▓ рдирд┐рдпрдВрддреНрд░рдг рдПрд▓реНрдЧреЛрд░рд┐рджрдо рдХреЛ рд▓рд╛рдЧреВ рдХрд░рдирд╛ рдЖрд╡рд╢реНрдпрдХ рд╣реИ - рдЗрд╕ рдорд╛рдорд▓реЗ рдореЗрдВ, рдпрд╛ рддреЛ рдмреЛрд░реНрдб рд▓рдЧрд╛рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдЕрд▓рдЧ рдирд┐рдпрдВрддреНрд░рдг рдкреНрд░реЛрд╕реЗрд╕рд░, рдпрд╛ FPGA рдореЗрдВ рдПрдореНрдмреЗрдбреЗрдб рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛрд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ)ред



рдореБрджреНрд░рд┐рдд рд╕рд░реНрдХрд┐рдЯ рдмреЛрд░реНрдб рдХреЛ рдкреНрд░рд╛рдкреНрдд рдХрд░рдиреЗ рдФрд░ рд╕реЛрд▓реНрдбрд░ рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж, FPGA рдХреЗ рд▓рд┐рдП рдПрдХ рдкреНрд░реЛрдЬреЗрдХреНрдЯ рдмрдирд╛рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ рдФрд░ рдЗрд╕реЗ рдирд┐рдпрдВрддреНрд░рд┐рдд рдХрд░рдиреЗ рдореЗрдВ рд╕рдХреНрд╖рдо рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рд╡рд┐рдХрд╕рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдкрд░реАрдХреНрд╖рдг рдФрд░ рдбреАрдмрдЧрд┐рдВрдЧ рдкреНрд░рдХреНрд░рд┐рдпрд╛ рд╢реБрд░реВ рд╣реЛрддреА рд╣реИред рд▓реЗрдХрд┐рди рдпрд╣ рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдЕрд▓рдЧ рдХрд╣рд╛рдиреА рд╣реИред



All Articles