рдорд╛рдЗрдХреНрд░реЛрдкреНрд░реЛрд╕реЗрд╕рд░ "рдЧреИрд░реЗрдЬ рд╕реЗ рдмрд╛рд╣рд░"

рдирд┐рд╢реНрдЪрд┐рдд рд░реВрдк рд╕реЗ рдЗрд▓реЗрдХреНрдЯреНрд░реЙрдирд┐рдХреНрд╕ рдФрд░ FPGAs рд╕реЗ рдирд┐рдкрдЯрдиреЗ рд╡рд╛рд▓рд╛ рд╣рд░ рдХреЛрдИ opencores.org рд╡реЗрдмрд╕рд╛рдЗрдЯ рд╕реЗ рдкрд░рд┐рдЪрд┐рдд рд╣реИ, рдЬрд┐рд╕рдореЗрдВ рдмрд╣реБрдд рд╕рд╛рд░реЗ рдЙрдкрдпреЛрдЧреА (рдФрд░ рдРрд╕рд╛ рдирд╣реАрдВ рд╣реИ) рдЗрд▓реЗрдХреНрдЯреНрд░реЙрдирд┐рдХреНрд╕ рд╕рдорд╛рдзрд╛рди - рджрд░реНрдЬрдиреЛрдВ, рд╢рд╛рдпрдж рд╕реИрдХрдбрд╝реЛрдВ, рдкреНрд░реЛрд╕реЗрд╕рд░ рдФрд░ рдмрд╛рд╣реНрдп рдЙрдкрдХрд░рдгреЛрдВ рдХреЗ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди - рджреЛрдиреЛрдВ рдореМрдЬреВрджрд╛ рдЙрдкрдХрд░рдгреЛрдВ рдХреЗ рдореВрд▓ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди, рдЗрд╕рд▓рд┐рдП рдФрд░ рдирдП рд╡рд┐рдХрд╛рд╕ред рдпрд╣ рдЖрд▓реЗрдЦ рдПрдХ 32-рдмрд┐рдЯ рдорд╛рдЗрдХреНрд░реЛрдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдмрд╛рдд рдХрд░реЗрдЧрд╛, рдЬрд┐рд╕рдореЗрдВ рдореВрд▓ рдирд┐рд░реНрджреЗрд╢ рдкреНрд░рдгрд╛рд▓реА рдордВрдЧрд▓ рд░реЛрд╡рд░ 2 рдмреЛрд░реНрдб рдХреЗ рдЖрдзрд╛рд░ рдкрд░ рдмрдирд╛рдИ рдЧрдИ рдереАред



рд╣рдорд╛рд░реА рдЯреАрдо 10 рд╡рд░реНрд╖реЛрдВ рдХреЗ рд▓рд┐рдП L4 рдорд╛рдЗрдХреНрд░реЛрдХрд░реНрдиреЗрд▓ рдореЗрдВ рд▓рдЧреА рд╣реБрдИ рд╣реИ рдФрд░ рдХреБрдЫ рд╕рдордп рдореЗрдВ рдпрд╣ рд╕рдордЭ рдореЗрдВ рдЖрдпрд╛ рдХрд┐ рдорд╛рдЗрдХреНрд░реЛрдХреНрд░реЗрди рдХреЛ рдПрдХ рдкреНрд░реЛрд╕реЗрд╕рд░ рдЗрдХрд╛рдИ рдХреЗ рд░реВрдк рдореЗрдВ рд▓рд╛рдЧреВ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдпрджрд┐ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдореЗрдВ рдкреВрд░реНрдг рд╡рд┐рдХрд╕рд┐рдд рдорд╛рдЗрдХреНрд░реЛрдХреЛрд▓ рдХреЛ рд▓рд╛рдЧреВ рдХрд░рдирд╛ рдмрд╣реБрдд рдореБрд╢реНрдХрд┐рд▓ рд╣реИ, рддреЛ рдЖрдк рдХрдо рд╕реЗ рдХрдо рдХреБрдЫ рдХрд╛рд░реНрдпреЛрдВ рдХреЛ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдореЗрдВ рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд░рдХреЗ рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рднрд╛рдЧ рдХреА рдорджрдж рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред рд╕рдмрд╕реЗ рдкрд╣рд▓реЗ, рд╣рдордиреЗ рдЖрд╕рд╛рди рдФрд░ рдЗрд╖реНрдЯрддрдо рдкрде рдХреЗ рд╕рд╛рде рдЬрд╛рдиреЗ рдХрд╛ рдлреИрд╕рд▓рд╛ рдХрд┐рдпрд╛ - рдореМрдЬреВрджрд╛ рд╕рдорд╛рдзрд╛рдиреЛрдВ рдХрд╛ рдЕрдзреНрдпрдпрди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рд╕рд╣реА рдПрдХ рдЪреБрдиреЗрдВ рдФрд░ рдПрдХ рдорд╛рдЗрдХреНрд░реЛрдХрд░реНрдиреЗрд▓ рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧреА рдлрд╝рд╛рдЗрд▓ рдЬреЛрдбрд╝реЗрдВ ред рдЗрд╕ рдХрд╛рдо рдореЗрдВ рд▓рдЧрднрдЧ рдПрдХ рдорд╣реАрдиреЗ рдХрд╛ рд╕рдордп рд▓рдЧрд╛ рдФрд░ рд▓рдЧрднрдЧ рд╕рднреА рд╕рдорд╛рдзрд╛рдиреЛрдВ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдкрддрд╛ рдЪрд▓рд╛ред рдПрдХ рддреИрдпрд╛рд░ рд╕рдорд╛рдзрд╛рди рдХреЛ рдЖрдзрд╛рд░ рдХреЗ рд░реВрдк рдореЗрдВ рд▓реЗрддреЗ рд╣реБрдП, рддреИрдпрд╛рд░ рдХрд┐рдП рдЧрдП рд╕рдВрдХрд▓рдХ рдФрд░ рд╡рд┐рднрд┐рдиреНрди рдкреБрд╕реНрддрдХрд╛рд▓рдпреЛрдВ рдХреЗ рд░реВрдк рдореЗрдВ, рдХрд╛рдлреА рдЕрдЪреНрдЫреЗ рдЕрд╡рд╕рд░реЛрдВ рдХреЛ рдЦреЛрд▓рд╛ рдЧрдпрд╛ред рдХреБрдЫ рдмрд┐рдВрджреБ рдкрд░, рд╣рдо рдореМрдЬреВрджрд╛ рд╕рдорд╛рдзрд╛рдиреЛрдВ рдХреЛ рдкрд╕рдВрдж рдирд╣реАрдВ рдХрд░рддреЗ рд╣реИрдВ - рдХреБрдЫ рдЬрдЯрд┐рд▓ рд╣реЛ рдЧрдП, рдХреБрдЫ рдЗрд╖реНрдЯрддрдо рдирд╣реАрдВ, рдХреБрдЫ рдЕрдзреВрд░рд╛, рдХреБрдЫ рдЫрд┐рдкрд╛рдиреЗ рдХреЗ рд▓рд┐рдП, рдмрд╣реБрдд рдЙрдкрдпреБрдХреНрдд рд▓рд╛рдЗрд╕реЗрдВрд╕ рдФрд░ рдЙрдкрдпреЛрдЧ рдХреА рд╢рд░реНрддреЗрдВ рдирд╣реАрдВ рдереАрдВред рд╣рд┐рдореНрдордд рдЬреБрдЯрд╛рддреЗ рд╣реБрдП рдФрд░ рдЕрдкрдиреЗ рджрд╛рдБрдд рдкреАрд╕рддреЗ рд╣реБрдП, рд╣рдордиреЗ рдПрдХ рдЬреБрдЖ рд╢реБрд░реВ рдХрд┐рдпрд╛, рд╢реБрд░реВ рд╕реЗ рд╣реА рдПрдХ рдкреНрд░реЛрд╕реЗрд╕рд░ рд╡рд┐рдХрд╕рд┐рдд рдХрд░рдиреЗ рдХрд╛ рдирд┐рд░реНрдгрдп рд▓рд┐рдпрд╛ред



рдорд╛рдЗрдХреНрд░реЛрдкреНрд░реЛрд╕реЗрд╕рд░ рдХрд┐рд╕рд╕реЗ рд╢реБрд░реВ рд╣реЛрддрд╛ рд╣реИ? рд╕рд┐рд╕реНрдЯрдо рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рд╕реЗ рдкреВрдЫреЗрдВ, рдФрд░ рд╡рд╣ рдЖрдкрдХреЛ рдЬрд╡рд╛рдм рджреЗрдЧрд╛ рдХрд┐ рдпрд╣ рдПрдХ рдХрдорд╛рдВрдб рд╕рд┐рд╕реНрдЯрдо рд╣реИред рдЖрд░рдЖрдИрдПрд╕рд╕реА рд╡рд╛рд╕реНрддреБрдХрд▓рд╛ рдХреЗ рд▓рд┐рдП рдХреБрд▓ рдлреИрд╢рди рдХреЗ рдмрд╛рд╡рдЬреВрдж, рд╣рдордиреЗ рдорд╢реАрди рд╢рдмреНрдж рдХреЗ рдЖрдХрд╛рд░ рдХреЗ рдирд┐рд░реНрджреЗрд╢реЛрдВ рдХреА рд▓рдВрдмрд╛рдИ рдХреЛ рдЯрд╛рдИ рдирд╣реАрдВ рдХрд░рдиреЗ рдХрд╛ рдлреИрд╕рд▓рд╛ рдХрд┐рдпрд╛ред рдЗрд╕рд▓рд┐рдП, рд╣рдордиреЗ рдХрдИ рдкреНрд░рдпреЛрдЧ рдХрд┐рдПред рдЕрдЬреАрдм рддрд░рд╣ рд╕реЗ рдкрд░реНрдпрд╛рдкреНрдд рд╣реИ, рд▓реЗрдХрд┐рди рдпрд╣ рдПрдХ рдХрдорд╛рдВрдб рд╕рд┐рд╕реНрдЯрдо ... рдорд╛рдЗрдХреНрд░реЛрд╕реЙрдлреНрдЯ рдПрдХреНрд╕реЗрд▓ рдХреЛ рдбрд┐рдЬрд╛рдЗрди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рдмрд╣реБрдд рд╣реА рд╕реБрд╡рд┐рдзрд╛рдЬрдирдХ рдЙрдкрдХрд░рдг рдирд┐рдХрд▓рд╛ред рд╕рдмрд╕реЗ рдкрд╣рд▓реЗ, рд╣рдордиреЗ рдХрдИ рд╕реНрддрдВрднреЛрдВ рдкрд░ рдкреНрд░рдХрд╛рд╢ рдбрд╛рд▓рд╛, рдЙрдирдХрд╛ рдЙрдкрдпреЛрдЧ рддреАрди рдЧрдгрдирд╛ рдкреНрд░рдгрд╛рд▓реА - рджрд╢рдорд▓рд╡, рд╣реЗрдХреНрд╕рд╛рдбреЗрд╕рд┐рдорд▓ рдФрд░ рдмрд╛рдЗрдирд░реА рдореЗрдВ рдирд┐рд░реНрджреЗрд╢ рд╕рдВрдЦреНрдпрд╛ рдХреЗ рд▓рд┐рдП рдХрд┐рдпрд╛ред рдкрд░рд┐рдгрд╛рдо 256 рд▓рд╛рдЗрдиреЛрдВ рдХрд╛ рдерд╛, рдПрдХ рдмрд╛рдЗрдЯ рдореЗрдВ рд╡рд░реНрдгрд┐рдд рд░рд╛рдЬреНрдпреЛрдВ рдХреА рд╕рдВрдЦреНрдпрд╛ рдХреЗ рдЕрдиреБрд╕рд╛рд░ред рдлрд┐рд░ рд╣рдордиреЗ рддрд╛рд░реНрдХрд┐рдХ рд░реВрдк рд╕реЗ рдирд┐рд░реНрджреЗрд╢реЛрдВ рдХреЛ рдЗрд╕ рддрд░рд╣ рд╕реЗ рд╕рдореВрд╣ рдмрдирд╛рдиреЗ рдХреА рдХреЛрд╢рд┐рд╢ рдХреА рдХрд┐ рдЙрдирдХреА рдбрд┐рдХреЛрдбрд┐рдВрдЧ рдпреЛрдЬрдирд╛ рдпрдерд╛рд╕рдВрднрд╡ рд╕рд░рд▓ рдереАред рдирд┐рд░реНрджреЗрд╢реЛрдВ рдХрд╛ рдкрд╣рд▓рд╛ рдмреНрд▓реЙрдХ рдПрдХрд▓-рдмрд╛рдЗрдЯ рдирд┐рд░реНрджреЗрд╢реЛрдВ рджреНрд╡рд╛рд░рд╛ рдХрдмреНрдЬрд╛ рдХрд░ рд▓рд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ - рдЙрдкрд╕рд░реНрдЧ, рд╕рдВрд╢реЛрдзрдХ рдФрд░ рд╕рд░рд▓ рдирд┐рд░реНрджреЗрд╢ред рдирд┐рд░реНрджреЗрд╢реЛрдВ рдХрд╛ рдирд┐рдореНрдирд▓рд┐рдЦрд┐рдд рдмреНрд▓реЙрдХ рдЗрд╕ рддрд░рд╣ рджрд┐рдЦрддрд╛ рд╣реИ:



рдЫрд╡рд┐



рдЕрдЧрд▓реЗ рдЪрд░рдг рдореЗрдВ, рдореБрдЭреЗ рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдХреА рд╕рдВрдЦреНрдпрд╛ рдФрд░ рдкреНрд░рдХрд╛рд░ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдлреИрд╕рд▓рд╛ рдХрд░рдирд╛ рдерд╛ред рдЖрдкрдХреЛ рд▓рдЧрддрд╛ рд╣реИ рдХрд┐ рдХрд┐рддрдиреЗ рд░рдЬрд┐рд╕реНрдЯрд░ рдЕрдзрд┐рдХрд╛рдВрд╢ рдХрд╛рд░реНрдпреЛрдВ рдХреЗ рд▓рд┐рдП рдЗрд╖реНрдЯрддрдо рд╣реЛрдВрдЧреЗ? рдЗрд╕ рдкреНрд░рд╢реНрди рдХреЗ рдЙрддреНрддрд░ рдЙрд╕ рд╡реНрдпрдХреНрддрд┐ рдХреЗ рд╡реНрдпрдХреНрддрд┐рддреНрд╡ рдХреЗ рдЖрдзрд╛рд░ рдкрд░ рдмрд╣реБрдд рднрд┐рдиреНрди рд╣реЛ рд╕рдХрддреЗ рд╣реИрдВ рдЬреЛ рдЬрд╡рд╛рдм рджреЗрддрд╛ рд╣реИ - 32 рдХрд┐рд╕реА рдХреЗ рд▓рд┐рдП рдкрд░реНрдпрд╛рдкреНрдд рдирд╣реАрдВ рд╣реИ, рдХреНрдпреЛрдВрдХрд┐ рдЧреИрд░-рд░рдЬрд┐рд╕реНрдЯрд░ рд╡рд╛рд╕реНрддреБрдХрд▓рд╛ рдХреЗ рдЕрдиреБрдпрд╛рдпреА рд╣реИрдВред рд╣рдордиреЗ 16 рд╕рд╛рдорд╛рдиреНрдп рдЙрджреНрджреЗрд╢реНрдп рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдкрд░ рд░рд╣рдиреЗ рдХрд╛ рдлреИрд╕рд▓рд╛ рдХрд┐рдпрд╛ред рдпрд╣ рд░рд╛рд╢рд┐ рдЕрд╕реЗрдВрдмрд▓реА рд▓реИрдВрдЧреНрд╡реЗрдЬ рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рдХреЗ рд▓рд┐рдП рдХрд╛рдлреА рд╕реБрд╡рд┐рдзрд╛рдЬрдирдХ рд╣реИ, рдпрд╣ рд╣рдорд╛рд░реЗ рдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░ рдкрд░ рдХрд╛рдлреА рд╕рдлрд▓ рд╣реИ рдФрд░ рдЗрд╕реЗ рдПрдЪрдбреАрдПрд▓ рдореЗрдВ рдЖрд╕рд╛рдиреА рд╕реЗ рд▓рд╛рдЧреВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред



рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдкрд░ рдирд┐рд░реНрдгрдп рд▓реЗрдиреЗ рдХреЗ рдмрд╛рдж, рд╣рдордиреЗ рдкреВрд░реА рддрд░рд╣ рд╕реЗ рд╕реНрд╡рддрдВрддреНрд░ рд░реВрдк рд╕реЗ рд╕реНрд╡рддрдВрддреНрд░ рдХрдорд╛рдВрдб рд╕рд┐рд╕реНрдЯрдо рдмрдирд╛рдиреЗ рдХрд╛ рдлреИрд╕рд▓рд╛ рдХрд┐рдпрд╛ - рд╡рд╛рд╕реНрддреБрдХрд▓рд╛ рдореЗрдВ рдПрдХ рдкреВрд░реНрдг рдкрддреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рдПрдХрд▓ рд╕рдВрдХреНрд░рдордг рдХрдорд╛рдВрдб рдирд╣реАрдВ рд╣реИ - рд╕рднреА рдмрджрд▓рд╛рд╡ рд╡рд░реНрддрдорд╛рди рдХрдорд╛рдВрдб рдХреЗ рд╕рд╛рдкреЗрдХреНрд╖ рдХрд┐рдП рдЬрд╛рддреЗ рд╣реИрдВред рд╣рдо рдХреЗрд╡рд▓ рдХреЙрдореНрдкреИрдХреНрдЯрдиреЗрд╕ рд╕реЗ рдЧреНрд░рд╕реНрдд рд╣реИрдВ, рдЗрд╕рд▓рд┐рдП рд╕рднреА рд╕рдВрдХреНрд░рдордг рдХрдорд╛рдВрдб рдХреЗ рддреАрди рд░реВрдк рд╣реИрдВ - 1, 2 рдФрд░ 3 рдмрд╛рдЗрдЯреНрд╕ рдХреЗ рд╣рд╕реНрддрд╛рдХреНрд╖рд░рд┐рдд рдСрдлрд╝рд╕реЗрдЯред рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, 16-рдмрд┐рдЯ рдСрдлрд╝рд╕реЗрдЯ рд╡рд╛рд▓реЗ рд╕рдВрдХреНрд░рдордг рдиреАрдЪреЗ рджрд┐рдЦрд╛рдП рдЧрдП рд╣реИрдВ:



рдЫрд╡рд┐



рдЕрдВрдд рдореЗрдВ, рд╣рдордиреЗ "рд╕рдордЭреМрддреЗ рджреНрд╡рд╛рд░рд╛" рд╕реНрдЯреИрдХ рдХреЗ рдЖрдпреЛрдЬрди рдХреЗ рдкрдХреНрд╖ рдореЗрдВ рдПрдХ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рд╕реНрдЯреИрдХ рдХреА рдЕрд╡рдзрд╛рд░рдгрд╛ рдХреЛ рдЫреЛрдбрд╝ рджрд┐рдпрд╛ред рдЗрд╕рдХреЗ рд▓рд┐рдП, рдПрдХ рд╡рд┐рд╢реЗрд╖ NOTCH рдЙрдкрд╕рд░реНрдЧ рд╢реБрд░реВ рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ рдФрд░ рдирд┐рдореНрдирд▓рд┐рдЦрд┐рдд рдпреЛрдЬрдирд╛ - рдпрджрд┐ рд╕рд╢рд░реНрдд рдпрд╛ рдмрд┐рдирд╛ рд╢рд░реНрдд рдХреВрдж рдЕрдиреБрджреЗрд╢ рдЗрд╕ рдирд┐рд░реНрджреЗрд╢ рдХреЗ рд╕рд╛рде рдЙрдкрд╕рд░реНрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рдЕрдЧрд▓реЗ рдирд┐рд░реНрджреЗрд╢ рдХрд╛ рдкрддрд╛ рд░рдЬрд┐рд╕реНрдЯрд░ R15 рдореЗрдВ рд░рдЦрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЕрд░реНрдерд╛рддреНред рд╡рд╛рдкрд╕реА рдХрд╛ рдкрддрд╛ред рддрджрдиреБрд╕рд╛рд░, RETURN рдирд┐рд░реНрджреЗрд╢ рд░рдЬрд┐рд╕реНрдЯрд░ R15 рдХреА рд╕рд╛рдордЧреНрд░реА рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдХреВрджрддрд╛ рд╣реИред рдЗрд╕ рдкреНрд░рдХрд╛рд░, рдиреЗрд╕реНрдЯреЗрдб рд╕рдмрдкреНрд░реЛрдЧреНрд░рд╛рдо рдХреЙрд▓ рдХреЗ рд╕рд╛рде, рд░рд┐рдЯрд░реНрди рдПрдбреНрд░реЗрд╕ рдХреЛ рд╕реНрдЯреЛрд░ рдХрд░рдиреЗ рдХреА рджреЗрдЦрднрд╛рд▓ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдпрд╛ рдХрдВрдкрд╛рдЗрд▓рд░ рдХреЗ рд╕рд╛рде рд░рд╣рддреА рд╣реИред рдкрд╣рд▓реА рдирдЬрд╝рд░ рдореЗрдВ, рдпрд╣ рдмрд╣реБрдд рд╕реБрд╡рд┐рдзрд╛рдЬрдирдХ, рдЗрд╖реНрдЯрддрдо рдФрд░ рдкрд░рд┐рдЪрд┐рдд рдирд╣реАрдВ рд▓рдЧрддрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдЕрдЧрд░ рдЖрдк рдЗрд╕рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рд╕реЛрдЪрддреЗ рд╣реИрдВ, рддреЛ рдЖрдкрдХреЛ рдХрдИ рдлрд╛рдпрджреЗ рдорд┐рд▓рддреЗ рд╣реИрдВ - рд╕рдмрд╕реЗ рдкрд╣рд▓реЗ, рдЖрдк рдЗрд╕ рд░рдЬрд┐рд╕реНрдЯрд░ рдХреЛ рдЯрд░реНрдорд┐рдирд▓ рдореЗрдореЛрд░реА рдореЗрдВ рдмрд╛рд╣рд░реА рдореЗрдореЛрд░реА рдореЗрдВ рд╕рд╣реЗрдЬреЗ рдмрд┐рдирд╛ рдХрдИ рдШрдбрд╝реА рдЪрдХреНрд░реЛрдВ рдХреЛ рдмрдЪрд╛ рд╕рдХрддреЗ рд╣реИрдВ (рдпрд╛рдиреА, рд░реВрдЯреАрди рджреВрд╕рд░реЛрдВ рдХреЛ рдХреЙрд▓ рдирд╣реАрдВ рдХрд░рддреЗ рд╣реИрдВ) рд╕рдмрд░реВрдЯреАрдиреНрд╕), рджреВрд╕рд░реА рдмрд╛рдд, NOTCH рдЙрдкрд╕рд░реНрдЧ рдХреЛ рд╕рд╢рд░реНрдд рд╢рд╛рдЦрд╛ рдЕрдиреБрджреЗрд╢ рд╕реЗ рдкрд╣рд▓реЗ рд░рдЦрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ, рдЬрд┐рд╕рд╕реЗ рд╕рд╢рд░реНрдд рдлрд╝рдВрдХреНрд╢рди рдХреЙрд▓ рдХреЛ рд╕рд╛рдХрд╛рд░ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ - рдпрджреНрдпрдкрд┐ рдЫреЛрдЯрд╛, рд▓реЗрдХрд┐рди рдХрд┐рдлрд╛рдпрддреА рднреАред рдЕрд╕реЗрдВрдмрд▓рд░ рдореЗрдВ рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рдХреА рдЬрдЯрд┐рд▓рддрд╛ рдХреЗ рд▓рд┐рдП, рд╡реЗ рдореИрдХреНрд░реЛрдЬрд╝ рджреНрд╡рд╛рд░рд╛ рдЫрд┐рдкреЗ рд╣реБрдП рд╣реИрдВ, рдЬреЛ рдПрдХ рдЙрдЪреНрдЪ рд╕реНрддрд░ рдХреЗ рдЕрд╕реЗрдВрдмрд▓рд░ mnemonics рд╣реИрдВред



рдХреЛрдб рдХреА рд╕реНрдерд┐рддрд┐рдЧрдд рд╕реНрд╡рддрдВрддреНрд░рддрд╛ рдПрдХ рдФрд░ рд╡рд┐рд╢реЗрд╖рддрд╛ рдХрд╛ рдкрд░рд┐рдЪрдп рджреЗрддреА рд╣реИ - рдирд┐рд░рдВрддрд░ рдбреЗрдЯрд╛ рддрдХ рдкрд╣реБрдВрдЪред рдЪреВрдВрдХрд┐ рдХреЛрдб рдПрдХ рдордирдорд╛рдиреЗ рдкрддреЗ рдкрд░ рд╕реНрдерд┐рдд рд╣реЛ рд╕рдХрддрд╛ рд╣реИ, рдЗрд╕рд▓рд┐рдП рдХреЛрдб рдХреЗ рд╕рд╛рде рдирд┐рд░рдВрддрд░ рдбреЗрдЯрд╛ рднреА рдордирдорд╛рдиреЗ рдврдВрдЧ рд╕реЗ рд╕реНрдерд┐рдд рд╣реЛ рд╕рдХрддрд╛ рд╣реИред рд╕рдорд╛рдзрд╛рди рдХрд╛рдлреА рд╕рд░рд▓ рдирд┐рдХрд▓рд╛ - рдПрдХ рд╣реА NOTCH рдЙрдкрд╕рд░реНрдЧ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реБрдП рдЬрдм рд░рдЬрд┐рд╕реНрдЯрд░ рдореЗрдВ рд╕реНрдерд┐рд░рд╛рдВрдХ рд▓реЛрдб рдХрд░рдирд╛ рдирд┐рд╖реНрдкрд╛рджрди рдпреЛрдЧреНрдп рдирд┐рд░реНрджреЗрд╢ рдХреЗ рд╕рд╛рдкреЗрдХреНрд╖ рдПрдХ рдСрдлрд╕реЗрдЯ рдХреЗ рд░реВрдк рдореЗрдВ рдирд┐рд░рдВрддрд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддрд╛ рд╣реИ - рдпрд╣ рд╕реНрдерд┐рддрд┐ рдХреЛ рд╕реНрд╡рддрдВрддреНрд░ рдХреЛрдб рдореЗрдВ рдбреЗрдЯрд╛ рдХреЛ рд╕рдВрдмреЛрдзрд┐рдд рдХрд░рдиреЗ рдХреА рд╕рдорд╕реНрдпрд╛ рдХреЛ рд╣рд▓ рдХрд░рддрд╛ рд╣реИред



рдХрдорд╛рдВрдб рд╕рд┐рд╕реНрдЯрдо рдХреЛ рдбрд┐рдЬрд╛рдЗрди рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж, рдЬрд┐рд╕реЗ рдЖрдо рддреМрд░ рдкрд░ рд▓рдЧрднрдЧ рдПрдХ рд╕рд╛рд▓ рд▓рдЧрддрд╛ рдерд╛, рд╣рдордиреЗ рдЦреБрдж рдХреЛ рдХрд╛рдКрд░реНрдЯрд╕ рдФрд░ рдЗрдХрд╛рд░рд╕ рд╡реЗрд░рд┐рд▓реЛрдЧ рд╡рд╛рддрд╛рд╡рд░рдг рд╕реЗ рд▓реИрд╕ рдХрд┐рдпрд╛ рдФрд░ ... рдорд╣рд╕реВрд╕ рдХрд┐рдпрд╛ рдХрд┐ рд╣рдо рдЬрд▓реНрджреА рдореЗрдВ рдереЗред рд╡реЗрд░рд┐рд▓реЛрдЧ рдХреЗ рдХрдорд╛рдВрдб рд╕рд┐рд╕реНрдЯрдо рдХреЛ рд▓рд╛рдЧреВ рдХрд░рдиреЗ рд╕реЗ рдпрд╣ рдХрд╛рдлреА рдЬрдЯрд┐рд▓ рд╣реЛ рдЧрдпрд╛ред рдЬрд╛рдирдХрд╛рд░ рд▓реЛрдЧреЛрдВ рдиреЗ рдПрдХ рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдореЙрдбрд▓ рдкрд░ рд╕рд╛рдзрд╛рд░рдг рд╕реА рдореЗрдВ рдбрд┐рдХреЛрдбрд░ рдФрд░ рдЕрдиреНрдп рдХрд╛рд░реНрдпрд╛рддреНрдордХ рдбрд┐рд╡рд╛рдЗрд╕ рд▓рд┐рдЦрдХрд░ рд╕рдорд╛рдзрд╛рди рдЪрд▓рд╛рдиреЗ рдХреА рд╕рд▓рд╛рд╣ рджреАред рдПрдХ рдЧреИрд░-рдореМрдЬреВрдж рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЗ рдПрдореБрд▓реЗрдЯрд░ рдХреЛ рд▓рд╛рдЧреВ рдХрд░рдиреЗ рдФрд░ рдЙрд╕ рдкрд░ рдкрд░реАрдХреНрд╖рдг рдХрд╛рд░реНрдпрдХреНрд░рдо рдЪрд▓рд╛рдиреЗ рдХреЗ рдмрд╛рдж, рдЪреАрдЬреЗрдВ рдмреЗрд╣рддрд░ рд╣реЛ рдЧрдИрдВред рд╡реЗрд░рд┐рд▓реЙрдЧ рдкрд░ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рд▓рд╛рдЧреВ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рдФрд░ рдЫрд╣ рдорд╣реАрдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдереАред рдпрд╣ рдХрд╣рд╛ рдЬрд╛рдирд╛ рдЪрд╛рд╣рд┐рдП рдХрд┐ рдПрдХ рд╢реБрд░реБрдЖрдд рдХреЗ рд▓рд┐рдП FPGA рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рдЕрд╡рд┐рд╢реНрд╡рд╕рдиреАрдп рд░реВрдк рд╕реЗ рдЬрдЯрд┐рд▓ рд╣реЛ рд╕рдХрддреА рд╣реИ, рдФрд░ рдЙрдЪреНрдЪ-рд╕реНрддрд░реАрдп рднрд╛рд╖рд╛рдУрдВ рдореЗрдВ рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рдХреЗ рдХрдИ рд╡рд░реНрд╖реЛрдВ рдХреЗ рдЕрдиреБрднрд╡ рднреА рдХрд╛рд░реНрдп рдХреЛ рдЬрдЯрд┐рд▓ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред рдЗрд╕ рдорд╛рдорд▓реЗ рдореЗрдВ, рдореЙрдбрд▓рд┐рдВрдЧ рдЯреВрд▓ рдмрдЪрд╛рд╡ рдореЗрдВ рдЖрддреЗ рд╣реИрдВред рдкрд╣рд▓реЗ рдЪрд░рдг рдореЗрдВ, рдЗрдХрд╛рд░рд╕ рд╡реЗрд░рд┐рд▓реЙрдЧ, рдПрдХ рдореБрдлреНрдд рд╕рд░реНрдХрд┐рдЯ рд╕рд┐рдореБрд▓реЗрд╢рди рдЙрдкрдХрд░рдг рдЬреЛ рдХрд┐ рд╕рд┐рдЧреНрдирд▓реЛрдВ рдХреЛ рдкреНрд░рджрд░реНрд╢рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП GTKWave рдХреЗ рд╕рд╛рде рдЖрддрд╛ рд╣реИ, рдмреЗрд╣рдж рдЙрдкрдпреЛрдЧреА рд╕рд╛рдмрд┐рдд рд╣реБрдЖред рдЗрди рдЙрдкрдХрд░рдгреЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ, рдЖрдк рджреЗрдЦ рд╕рдХрддреЗ рд╣реИрдВ рдХрд┐ рдХрд┐рд╕реА рднреА рд╕рдордп рдбрд┐рд╡рд╛рдЗрд╕ рдХреЗ рд╕рд╛рде рдХреНрдпрд╛ рд╣реЛ рд░рд╣рд╛ рд╣реИред рдХреБрдЫ рд╕реНрддрд░ рдкрд░, рдЗрдХрд╛рд░рд╕ рд╡реЗрд░рд┐рд▓реЙрдЧ рдХреА рдХреНрд╖рдорддрд╛ рдХрдо рд╣реЛ рдЧрдИ рдФрд░ рд╣рдордиреЗ рдореЗрдВрдЯрд░рдЧреНрд░рд╛рдлрд┐рд╕ рдореЙрдбрд▓рд╕рд┐рдо рд╕рд┐рдореНрдпреБрд▓реЗрдЯрд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛, рдПрдХ рдмрд╣реБрдд рд╣реА рд╢рдХреНрддрд┐рд╢рд╛рд▓реА рд╡рд╛рдгрд┐рдЬреНрдпрд┐рдХ рдЙрдкрдХрд░рдг, рдПрдХ рд╕реНрдЯреНрд░рд╛рдЗрдкреНрдб-рдбрд╛рдЙрди рд╕рдВрд╕реНрдХрд░рдг, рдЬрд┐рд╕реЗ рдПрд▓реНрдЯрд░ рдХреНрд╡рд╛рд░реНрдЯрд╕ рдХреЗ рд╕рд╛рде рдореБрдлреНрдд рдореЗрдВ рд╕реНрдерд╛рдкрд┐рдд рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред



рдЖрдк рдбрд┐рдмрдЧрд┐рдВрдЧ рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рд▓рдВрдмреЗ рд╕рдордп рддрдХ рдмрд╛рдд рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред рдФрд░ рдХреБрдЫ рдмрд┐рдВрджреБ рдкрд░, рдЬрдм FPGA рд╕рдВрд╕рд╛рдзрдиреЛрдВ рдкрд░ рдПрдХ рдкреВрд░реНрдг рддреАрд╕рд░реЗ рджреНрд╡рд╛рд░рд╛ рдХрдмреНрдЬрд╛ рдХрд░ рд▓рд┐рдпрд╛ рдЧрдпрд╛ рдерд╛, рдЕрдЪрд╛рдирдХ рдПрдХ рд╕рдордЭ рдереА рдХрд┐ рдкрд░рд┐рдгрд╛рдорд╕реНрд╡рд░реВрдк рдкреНрд░реЛрд╕реЗрд╕рд░ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рдХреБрдЫ рдкрд░рд┐рдпреЛрдЬрдирд╛рдУрдВ рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред







рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреА рдХреНрд╖рдорддрд╛рдУрдВ рдХреЛ рдкреНрд░рджрд░реНрд╢рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рд╣рдордиреЗ рд╕рдмрд╕реЗ рд╕рд░рд▓ рдлрд░реНрдорд╡реЗрдпрд░ рд▓рд┐рдЦрд╛, рдЬреЛ рд╕реНрдЯрд╛рд░реНрдЯрдЕрдк рдкрд░ рджреВрд░рд╕реНрде рдЯрд░реНрдорд┐рдирд▓ рдХреА рд╕реНрдХреНрд░реАрди рдкрд░ рдирд┐рдореНрди рдореЗрдиреВ рдкреНрд░рджрд░реНрд╢рд┐рдд рдХрд░рддрд╛ рд╣реИ:



 Welcomeest> рдПрд╡рд░реЗрд╕реНрдЯ рдХреЛрд░ рдореЗрдВ рдЖрдкрдХрд╛ рд╕реНрд╡рд╛рдЧрдд рд╣реИ <Ever тФАтФАтФАтФАтФАтФАтФАтФАтФР
 тФВ 1 - рдПрдХреНрд╕-рдореЙрдбреЗрдо рдкреНрд░реЛрдЯреЛрдХреЙрд▓ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдмрд╛рдЗрдирд░реА рдлрд╝рд╛рдЗрд▓ рд▓реЛрдб рдХрд░реЗрдВ binary
 тФВ 2 - рдкрд╣рд▓реЗ рд╕реЗ рд▓реЛрдб рдмрд╛рдЗрдирд░реА рдлрд╝рд╛рдЗрд▓ рдЪрд▓рд╛рдПрдВ previously
 тФВ 3 - рд░реИрдо рджрд┐рдЦрд╛рдПрдВ (0x100000-0x100140) RAM
 тФВ 4 - рд╕рдВрджреЗрд╢ рд░рдЬрд┐рд╕реНрдЯрд░ рдХрд╛ рдкрд░реАрдХреНрд╖рдг of
 тФВ 5 - рдкрд╣рд▓реЗ рд╕реЗ рд▓реЛрдб рдХреА рдЧрдИ ANSI рддрд╕реНрд╡реАрд░ рджрд┐рдЦрд╛рдПрдВ previously
 тФВ 6 - рдЕрдВрддрд░реНрдирд┐рд╣рд┐рдд рдПрдПрдирдПрд╕рдЖрдИ рддрд╕реНрд╡реАрд░ # 1 built рджрд┐рдЦрд╛рдПрдВ
 тФВ built - рд╢реЛ рдореЗрдВ рдирд┐рд░реНрдорд┐рдд рдПрдПрдирдПрд╕рдЖрдИ рддрд╕реНрд╡реАрд░ # реи built
 тФА тФА тФА тФА тФА тФА тФА тФА тФАтФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА рдЭреЗрд▓реЛрдВ рдХреЗ рд▓рд┐рдП рд▓рд╣рдВрдЧреЗ рдХреЛ тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФА тФАтФАтФАтФАтФАтФАтФАтФАтФАтФАтФАтФАтФАтФАтФАтФАтФАтФАтФАтФАтФАтФАтФАтФАтФАтФАтФАтФАтФАтФШ




рдпрджрд┐ рдЖрдк 1 рджрдмрд╛рддреЗ рд╣реИрдВ, рдФрд░ рдпрджрд┐ рдЖрдкрдХрд╛ рдЯрд░реНрдорд┐рдирд▓ рдПрдХреНрд╕-рдореЙрдбреЗрдо рдкреНрд░реЛрдЯреЛрдХреЙрд▓ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдлрд╝рд╛рдЗрд▓ рд╕реНрдерд╛рдирд╛рдВрддрд░рдг рдХрд╛ рд╕рдорд░реНрдерди рдХрд░рддрд╛ рд╣реИ, рддреЛ рдЖрдк рдЖрдХрд╛рд░ рдореЗрдВ 4 Kb рддрдХ рдХреА рдлрд╝рд╛рдЗрд▓ рдбрд╛рдЙрдирд▓реЛрдб рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред рдпрд╣ рдкрд╛рда рдпрд╛ ANSI- рдЪрд┐рддреНрд░ рд╣реЛ рд╕рдХрддрд╛ рд╣реИ - рдЗрд╕ рд╕реНрдерд┐рддрд┐ рдореЗрдВ, рдХреБрдВрдЬреА 5 рджрдмрд╛рдиреЗ рдкрд░ рдкрд╛рда рдпрд╛ рдЪрд┐рддреНрд░ рд╕реНрдХреНрд░реАрди рдкрд░ рджрд┐рдЦрд╛рдИ рджреЗрдЧрд╛ред рд▓реЗрдХрд┐рди рдХреНрдпрд╛ рдЗрд╕рдХреЗ рд▓рд┐рдП рдХреЛрдИ рд▓реЗрдЦ рд▓рд┐рдЦрдиреЗ рд▓рд╛рдпрдХ рд╣реЛрдЧрд╛? рдмреЗрд╢рдХ, рдЗрд╕рд▓рд┐рдП, рдЬрдм рдЖрдк рдЯрд░реНрдорд┐рдирд▓ рдореЗрдВ 2 рдХреБрдВрдЬреА рджрдмрд╛рддреЗ рд╣реИрдВ, рддреЛ рдирд┐рдпрдВрддреНрд░рдг рдХреЛ рдкрд╣рд▓реЗ рдореЗрдиреВ рдЖрдЗрдЯрдо рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рд▓реЛрдб рдХрд┐рдП рдЧрдП рдХреЛрдб рдореЗрдВ рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдпрджрд┐ рдЖрдк рдбрд╛рдЙрдирд▓реЛрдб рдХрд┐рдП рдЧрдП рдкрд╛рда рдпрд╛ рдПрдПрдирдПрд╕рдЖрдИ-рдЪрд┐рддреНрд░ рдкрд░ рдирд┐рдпрдВрддреНрд░рдг рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд░рддреЗ рд╣реИрдВ, рддреЛ рдХреБрдЫ рдЪрд░рдгреЛрдВ рдХреЗ рдмрд╛рдж рдкреНрд░реЛрд╕реЗрд╕рд░ рдПрдХ рдиреЙрдирдЯреЗрдХреНрд╕реНрдЯреЗрдВрдЯ (рдЕрднреА рднреА рдЕрдирд┐рд╢реНрдЪрд┐рдд рдХрдорд╛рдВрдб) рдкрд░ рдареЛрдХрд░ рдЦрд╛рдПрдЧрд╛ рдпрд╛ рдХрд┐рд╕реА рднреА рдЕрд╕реНрдкрд╖реНрдЯ рдореЗрдореЛрд░реА рдореЗрдВ рдмрджрд▓ рдЬрд╛рдПрдЧрд╛ред рдЗрд╕ рд╕реНрдерд┐рддрд┐ рдореЗрдВ, рдкреНрд░реЛрд╕реЗрд╕рд░ рдЪрд░рдг-рджрд░-рдЪрд░рдг рдореЛрдб рдореЗрдВ рдЬрд╛рдПрдЧрд╛ - рдЯрд░реНрдорд┐рдирд▓ рд╕реЗ рдкреНрд░рд╛рдкреНрдд рдкреНрд░рддреНрдпреЗрдХ рдХреЛрдб рдмрд╕ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреА рд╕реНрдерд┐рддрд┐ рдХреЛ рд░рд┐рдореЛрдЯ рдЯрд░реНрдорд┐рдирд▓ рдХреЗ рдЖрдЙрдЯрдкреБрдЯ рдХреЗ рд╕рд╛рде рдПрдХ рдкреНрд░реЛрд╕реЗрд╕рд░ рдирд┐рд░реНрджреЗрд╢ рдХреЗ рдирд┐рд╖реНрдкрд╛рджрди рдХрд╛ рдХрд╛рд░рдг рд╣реЛрдЧрд╛ред



рдЫрд╡рд┐



рдпрд╣ рд░реАрд╕реЗрдЯ рдХреБрдВрдЬреА рджрдмрд╛рдиреЗ рдХрд╛ рд╕рдордп рд╣реИред рд╣рдордиреЗ рдордВрдЧрд▓ рд░реЛрд╡рд░ 2 рдмреЛрд░реНрдб рдкрд░ "рд░реАрд╕реЗрдЯ" рдХреЛ рдмрд╛рдПрдВ рдмрдЯрди рдХрд╣рд╛ред



рдбрд┐рд╡рд╛рдЗрд╕ рдХреЛ рдХреБрдЫ рд╕рд╛рд░реНрдердХ рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП, рдЖрдкрдХреЛ рдореИрдХреНрд░реЛ рдЕрд╕реЗрдВрдмрд▓рд░ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрдЧреАред рдЗрд╕ рд╕рдВрдЧреНрд░рд╣ рдореЗрдВ, рдХреЛрдбрд╛рдВрддрд░рдХ рдХреЗ рдЕрд▓рд╛рд╡рд╛ рдФрд░ рдХреБрдЫ рдЙрджрд╛рд╣рд░рдг рд╣реИрдВ, рд╣рдордиреЗ рдкреНрд░реЛрд╕реЗрд╕рд░ рдорд╛рдЗрдХреНрд░реЛрдХреЛрдб рдХреЗ рд╕реНрд░реЛрдд рдХреЛрдб рдХреЛ рд░рдЦрд╛ред рдирд┐рдореНрдирд▓рд┐рдЦрд┐рдд рдПрдХ рд╕рд░рд▓ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рдкреНрд░реЛрдЧреНрд░рд╛рдо рдХрд╛ рдПрдХ рдЙрджрд╛рд╣рд░рдг рд╣реИ рдЬрд┐рд╕реЗ рдЕрд╕реЗрдВрдмрд▓рд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдПрдХ рдмрд╛рдЗрдирд░реА рдлрд╝рд╛рдЗрд▓ рдореЗрдВ рдкрд░рд┐рд╡рд░реНрддрд┐рдд рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ рдФрд░ рдкреНрд░реЛрд╕реЗрд╕рд░ рдореЗрдВ рд▓реЛрдб рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред



function user_main load r14, 0x2000 push r15 loop: call _get_sysclock load r2, 0x05F5E100 call _div64 call _print_dec lea r1, $shw_str call _puts call _uart_status rcr r0, 2 ;  RCV_RDY   jc done ;        load r0, 0x01000000 call _delay jmp loop done: pop r15 return end include tty.asm include delay.asm include mul.asm include div.asm include print_dec.asm include sysclock.asm $shw_str db ' seconds since boot',13,10,0
      
      







рдЯрд░реНрдорд┐рдирд▓ рдореЗрдВ рдХрд┐рд╕реА рднреА рдХреБрдВрдЬреА рдХреЛ рджрдмрд╛рдиреЗ рд╕реЗ рдкрд╣рд▓реЗ рдПрдХ рдЪрдХреНрд░ рдореЗрдВ рдпрд╣ рдХрд╛рд░реНрдпрдХреНрд░рдо рдбрд┐рд╡рд╛рдЗрд╕ рдХреА рд╢реБрд░реБрдЖрдд рдпрд╛ рд░рд┐рдореЛрдЯ рдЯрд░реНрдорд┐рдирд▓ рдкрд░ рд░реАрд╕реЗрдЯ рд╣реЛрдиреЗ рдХреЗ рдмрд╛рдж рд╕реЗ рд╕реЗрдХрдВрдб рдХреА рд╕рдВрдЦреНрдпрд╛ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдЬрд╛рдирдХрд╛рд░реА рдкреНрд░рджрд░реНрд╢рд┐рдд рдХрд░рддрд╛ рд╣реИред рд╡реНрдпрд╡рд╣рд╛рд░ рдореЗрдВ рдЗрд╕реЗ рдЬрд╛рдВрдЪрдиреЗ рдХреЗ рд▓рд┐рдП, рдЖрдкрдХреЛ рдЬрдирд░реЗрдЯ рдХрд┐рдП рдЧрдП usr_demo2.bin рдлрд╝рд╛рдЗрд▓ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрдЧреАред



рдХрд╛рд░реНрдпрдХреНрд░рдо рдХреЗ рд▓рд┐рдП рдПрдХ рдЫреЛрдЯреА рд╡реНрдпрд╛рдЦреНрдпрд╛ред рдЬрд┐рд╕ рд╕рдордп рдбрд┐рд╡рд╛рдЗрд╕ рдЪрд╛рд▓реВ рдпрд╛ рд░реАрд╕реЗрдЯ рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛, рдЙрд╕ рд╕рдордп рдХреНрд░рд┐рд╕реНрдЯрд▓ рдСрд╕рд┐рд▓реЗрдЯрд░ рдХреА рджрд╛рд▓реЛрдВ рдХреА рд╕рдВрдЦреНрдпрд╛ _get_sysclock рд╕рдмрд░реВрдЯрд┐рди рд▓реМрдЯрд╛рддрд╛ рд╣реИред рд╕рдмрд░реВрдЯреАрди рдбрдВрдк рдЙрджрд╛рд╣рд░рдг:

 ; ------------------- _get_sysclock ------------------ 0198: 37 e3 ; DEC R14, 4 019a: 60 e3 ; MOV (R14), R3 019c: e3 ff fe ff f8 ; LOAD R3, 0xfffefff8 01a1: 68 03 ; MOV R0, (R3) 01a3: 36 33 ; INC R3, 4 01a5: 68 13 ; MOV R1, (R3) 01a7: 68 3e ; MOV R3, (R14) 01a9: 36 e3 ; INC R14, 4 01ab: 05 ; RETURN
      
      







_Get_sysclock рд╕рдмрд░реВрдЯреАрди рд╕реЗ рдмрд╛рд╣рд░ рдирд┐рдХрд▓рддреЗ рд╕рдордп , рд░рдЬрд┐рд╕реНрдЯрд░ R0 рдореЗрдВ рдХрдо 32 рдмрд┐рдЯреНрд╕ рд╣реЛрддреЗ рд╣реИрдВ, рдФрд░ рд░рдЬрд┐рд╕реНрдЯрд░ R1 рдореЗрдВ рдкрд░рд┐рдгрд╛рдо рдХреЗ рдЙрдЪреНрдЪ 32 рдмрд┐рдЯреНрд╕ рд╣реЛрддреЗ рд╣реИрдВред

рдирд┐рд░рдВрддрд░ 0x05F5E100 рдПрдХ рд╕реЗрдХрдВрдб рдореЗрдВ рдПрдХ рдШрдбрд╝реА рдХреЗ рджрд╛рд▓реЛрдВ рдХреА рд╕рдВрдЦреНрдпрд╛ рд╣реИред



рдЖрдк рдпрд╣рд╛рдВ рдордВрдЧрд▓ рд░реЛрд╡рд░ 2 рдмреЛрд░реНрдб рдХреЗ рд▓рд┐рдП рдирд╡реАрдирддрдо рдлрд░реНрдорд╡реЗрдпрд░ рдбрд╛рдЙрдирд▓реЛрдб рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ ред



рдпрджрд┐ рдЖрдк рд╣рдорд╛рд░реЗ рдкреНрд░реЛрдЬреЗрдХреНрдЯ рдХреА рдЦрдмрд░реЗрдВ рдирд╣реАрдВ рд╕реБрдирддреЗ рд╣реИрдВ, рддреЛ рдЖрдкрдХреЛ рдкрддрд╛ рд╣реЛрдирд╛ рдЪрд╛рд╣рд┐рдП рдХрд┐ рд╣рдо F4A рдХреЛ L4 рдорд╛рдЗрдХреНрд░реЛрдХрд░реНрдирд▓ рдЯреНрд░рд╛рдВрд╕рдлрд░ рдХрд░рдиреЗ рдкрд░ рдХрд╛рдо рдХрд░ рд░рд╣реЗ рд╣реИрдВред

рдЖрдкрдХрд╛ рдзреНрдпрд╛рди рджреЗрдиреЗ рдХреЗ рд▓рд┐рдП рдзрдиреНрдпрд╡рд╛рджред



All Articles